Design-space exploration of power-aware on/off interconnection networks
暂无分享,去创建一个
[1] Charles L. Seitz,et al. Myrinet: A Gigabit-per-Second Local Area Network , 1995, IEEE Micro.
[2] M. Horowitz,et al. Efficient on-chip global interconnects , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).
[3] Luca Benini,et al. Powering networks on chips , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).
[4] Lionel M. Ni,et al. The Turn Model for Adaptive Routing , 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.
[5] M.A. Horowitz,et al. A variable-frequency parallel I/O interface with adaptive power-supply regulation , 2000, IEEE Journal of Solid-State Circuits.
[6] Jaehyuk Huh,et al. Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture , 2003, IEEE Micro.
[7] D. Grunwald,et al. The Performance of Multicomputer Interconnection Networks , 1987, Computer.
[8] Sharad Malik,et al. Orion: a power-performance simulator for interconnection networks , 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..
[9] José Duato,et al. A theory of fault-tolerant routing in wormhole networks , 1994, Proceedings of 1994 International Conference on Parallel and Distributed Systems.
[10] Sudhakar Yalamanchili,et al. A Family of Fault-Tolerant Routing Protocols for Direct Multiprocessor Networks , 1995, IEEE Trans. Parallel Distributed Syst..
[11] Miodrag Potkonjak,et al. MediaBench: a tool for evaluating and synthesizing multimedia and communications systems , 1997, Proceedings of 30th Annual International Symposium on Microarchitecture.
[12] Li Shang,et al. Dynamic voltage scaling with links for power optimization of interconnection networks , 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
[13] David Wentzlaff,et al. Energy characterization of a tiled architecture processor with on-chip networks , 2003, ISLPED '03.
[14] Shubhendu S. Mukherjee,et al. The Alpha 21364 network architecture , 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.
[15] Loren Schwiebert,et al. Optimal fully adaptive wormhole routing for meshes , 1993, Supercomputing '93. Proceedings.
[16] D. N. Jayasimha,et al. Optimal fully adaptive wormhole routing for meshes , 1993, Supercomputing '93.
[17] Mazin S. Yousif,et al. Energy optimization techniques in cluster interconnects , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[18] W. Dally,et al. Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[19] Andrew A. Chien,et al. Software overhead in messaging layers: where does the time go? , 1994, ASPLOS VI.