On Delay Measurement Under Delay Variations in Boundary Scan Circuit with Embedded TDC

With the high integration of integrated circuits, small delay faults have occurred as the cause of a circuit failure. We have proposed a method for testing small delay faults using a boundary scan circuit with embedded TDC (TDCBS). In this method, delay faults are detected by using the number of stages in which a transition signal has propagated through a delay line. However, there exists delay variation in a delay line. This paper investigated delay variation by measuring transition delay for different paths in the delay line. In addition, to calibrate delay variation, we investigated a calibration method for considering the variation of wire length and delay in a delay line in TDCBS. Measurement results for an experimental chip show that the method can compensate the variations in the delay line.

[1]  Mehrdad Nourani,et al.  Testing SoC interconnects for signal integrity using extended JTAG architecture , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Kenneth P. Parker The Boundary-Scan Handbook , 1992, Springer US.

[3]  Yotsuyanagi Hiroyuki,et al.  Reduction of Wire Length by Reordering Delay Elements in Boundary Scan Circuit with Embedded TDC , 2018 .

[4]  Haihua Yan,et al.  A New Delay Test Based on Delay Defect Detection Within Slack Intervals (DDSI) , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Shi-Yu Huang,et al.  Versatile Transition-Time Monitoring for Interconnects via Distributed TDC , 2016, IEEE Design & Test.

[6]  Hans-Joachim Wunderlich,et al.  Optimized Selection of Frequencies for Faster-Than-at-Speed Test , 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

[7]  Masaki Hashizume,et al.  On Detecting Delay Faults Using Time-to-Digital Converter Embedded in Boundary Scan , 2013, IEICE Trans. Inf. Syst..

[8]  Ming-Chien Tsai,et al.  An All-Digital High-Precision Built-In Delay Time Measurement Circuit , 2008, 26th IEEE VLSI Test Symposium (vts 2008).

[9]  Masanori Hashimoto,et al.  From Process Variations to Reliability: A Survey of Timing of Digital Circuits in the Nanometer Era , 2018, IPSJ Trans. Syst. LSI Des. Methodol..

[10]  Hideo Ito,et al.  An On-Chip Delay Measurement Technique Using Signature Registers for Small-Delay Defect Detection , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.