On-chip communication design: roadblocks and avenues

The semiconductor industry is experiencing a paradigm shift from "computation-bound design" to "communication-bound design": the number of transistors that can be reached in a clock cycle, and not those that can be integrated on a chip, will drive the design process. Interconnect latency will have a major impact on the design of on-chip communication architectures, which increasingly rely on wire pipelining to go beyond the capabilities of traditional wire buffering. The insertion of stateful repeaters on long wires, instead of simply stateless repeaters, carries major sequences for the synchronous design methodology. This is the foundation of the design flows for the majority of commercial chips today, but, if left unchanged, will lead to an exacerbation of the timing closure problem for tomorrow's design flows. New methodologies that regard the chip as a distributed system are necessary. Latency-insensitive design is a step in this direction.

[1]  Alberto L. Sangiovanni-Vincentelli,et al.  A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[2]  Eric Sprangle,et al.  Increasing processor performance by implementing deeper pipelines , 2002, ISCA.

[3]  Jason Cong,et al.  Multilevel global placement with retiming , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[4]  Doug Matzke,et al.  Will Physical Scalability Sabotage Performance Gains? , 1997, Computer.

[5]  Alberto L. Sangiovanni-Vincentelli,et al.  Latency Insensitive Protocols , 1999, CAV.

[6]  James H. Lambert,et al.  A Methodology for , 2000 .

[7]  M. Bohr Interconnect scaling-the real limiter to high performance ULSI , 1995, Proceedings of International Electron Devices Meeting.

[8]  Cheng-Kok Koh,et al.  Flip-flop and repeater insertion for early interconnect planning , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[9]  Ken Mai,et al.  The future of wires , 2001, Proc. IEEE.

[10]  James D. Meindl,et al.  Interconnect Opportunities for Gigascale Integration , 2002, IEEE Micro.

[11]  Luca P. Carloni A Formal Modeling Framework for Deploying Synchronous Designs on Distributed Architectures , 2003 .

[12]  Alberto L. Sangiovanni-Vincentelli,et al.  Coping with Latency in SOC Design , 2002, IEEE Micro.

[13]  Luca P. Carloni,et al.  Latency-insensitive design , 2004 .

[14]  Vikas Agarwal,et al.  Clock rate versus IPC: the end of the road for conventional microarchitectures , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[15]  Kaustav Banerjee,et al.  Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.

[16]  Alberto L. Sangiovanni-Vincentelli,et al.  Theory of latency-insensitive design , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..