A reverse write assist circuit for SRAM dynamic write VMIN tracking using canary SRAMs
暂无分享,去创建一个
[1] Diana Marculescu,et al. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[2] T. Douseki,et al. A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment - sure write operation by using step-down negatively overdriven bitline scheme , 2006, IEEE Journal of Solid-State Circuits.
[3] Kevin Zhang,et al. A 4.6GHz 162Mb SRAM design in 22nm tri-gate CMOS technology with integrated active VMIN-enhancing assist circuitry , 2012, 2012 IEEE International Solid-State Circuits Conference.
[4] Hamid Mahmoodi,et al. Thermal estimation for accurate estimation of impact of BTI aging effects on nano-scale SRAM circuits , 2010, 23rd IEEE International SOC Conference.
[5] A.P. Chandrakasan,et al. Standby power reduction using dynamic voltage scaling and canary flip-flop structures , 2004, IEEE Journal of Solid-State Circuits.
[6] Yoshimi Otsuka,et al. Multicore energy reduction utilizing canary FF , 2010, 2010 10th International Symposium on Communications and Information Technologies.
[7] Rob A. Rutenbar,et al. Two Fast Methods for Estimating the Minimum Standby Supply Voltage for Large SRAMs , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[8] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[9] Osamu Takahashi,et al. Implementation of the CELL Broadband Engine in a 65nm SOI Technology Featuring Dual-Supply SRAM Arrays Supporting 6GHz at 1.3V , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[10] S. Kosonocky,et al. Fluctuation limits & scaling opportunities for CMOS SRAM cells , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[11] Hao-I Yang,et al. Timing control degradation and NBTI/PBTI tolerant design for Write-replica circuit in nanoscale CMOS SRAM , 2009, 2009 International Symposium on VLSI Design, Automation and Test.
[12] Borivoje Nikolic,et al. SRAM Assist Techniques for Operation in a Wide Voltage Range in 28-nm CMOS , 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.
[13] Christian Steger,et al. Power emulation based DVFS efficiency investigations for embedded systems , 2010, 2010 International Symposium on System on Chip.
[14] Jiajing Wang,et al. An Enhanced Canary-Based System With BIST for SRAM Standby Power Reduction , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[15] Lara Dolecek,et al. Breaking the simulation barrier: SRAM evaluation through norm minimization , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[16] Jari Nurmi,et al. Improving Reconfigurable Hardware Energy Efficiency and Robustness via DVFS-Scaled Homogeneous MP-SoC , 2011, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum.
[17] Robert C. Aitken,et al. On the efficacy of write-assist techniques in low voltage nanoscale SRAMs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[18] Benton H. Calhoun,et al. Dynamic write limited minimum operating voltage for nanoscale SRAMs , 2011, 2011 Design, Automation & Test in Europe.
[19] Jiajing Wang,et al. Canary Replica Feedback for Near-DRV Standby VDD Scaling in a 90nm SRAM , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[20] Min Cao,et al. A 20nm 112Mb SRAM in High-к metal-gate with assist circuitry for low-leakage and low-VMIN applications , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[21] Jiajing Wang,et al. Techniques to Extend Canary-Based Standby $V_{DD}$ Scaling for SRAMs to 45 nm and Beyond , 2008, IEEE Journal of Solid-State Circuits.
[22] Jiajing Wang,et al. Limits of bias based assist methods in nano-scale 6T SRAM , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[23] Rob A. Rutenbar,et al. Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design , 2008, 21st International Conference on VLSI Design (VLSID 2008).
[24] S. Zafar,et al. Impact of NBTI and PBTI in SRAM bit-cells: Relative sensitivities and guidelines for application-specific target stability/performance , 2009, 2009 IEEE International Reliability Physics Symposium.