A 32 Gb/s, 0.42 pJ/bit Passive Hybrid Simultaneous Bidirectional Transceiver for Die-to-Die Links

This paper presents a single-ended, passive hybrid for a simultaneous bidirectional (SBD) die-to-die link. It provides a signal-to-interference ratio better than 20 dB at 10 GHz while consuming only 3.72% of the total power and is used in a split-termination SBD transceiver (TRX) with a transimpedance amplifier (TIA) as a driver, which improves signal integrity by minimizing signal reflections. Extracted simulations of the TRX in 16nm CMOS over a 5 mm die-to-die link demonstrate error-free communication at 32 Gbps (16 Gbps + 16 Gbps) with a power efficiency of 0.42 pJ/bit on a 0.9 V. supply.

[1]  C. T. Gray,et al.  A 0.297-pJ/bit 50.4-Gb/s/wire Inverter-Based Short-Reach Simultaneous Bidirectional Transceiver for Die-to-Die Interface in 5nm CMOS , 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

[2]  Po-Chun Kuo,et al.  A 7nm 0.46pJ/bit 20Gbps with BER 1E-25 Die-to-Die Link Using Minimum Intrinsic Auto Alignment and Noise-Immunity Encode , 2021, 2021 Symposium on VLSI Circuits.

[3]  Shalabh Gupta,et al.  A True Full-Duplex IO (TFD-IO) With Background SI Cancellation for High-Density Interfaces , 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Govert Geelen,et al.  An Echo-Cancelling Front-End for 112Gb/s PAM-4 Simultaneous Bidirectional Signaling in 14nm CMOS , 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

[5]  Bapiraju Vinnakota,et al.  An Open Inter-Chiplet Communication Link: Bunch of Wires (BoW) , 2021, IEEE Micro.

[6]  Nijwm Wary,et al.  Hybrid bidirectional transceiver for multipoint-to-multipoint signalling across on-chip global interconnects , 2020, IET Circuits Devices Syst..

[7]  Chen Yuan,et al.  On the Design of Low-Power Hybrids for Full Duplex Simultaneous Bidirectional Signaling Links , 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

[8]  Bapiraju Vinnakota,et al.  A Bunch-of-Wires (BoW) Interface for Interchiplet Communication , 2020, IEEE Micro.

[9]  Subramanian S. Iyer,et al.  Goodbye, motherboard. Bare chiplets bonded to silicon will make computers smaller and more powerful: Hello, silicon-interconnect fabric , 2019, IEEE Spectrum.

[10]  Woorham Bae,et al.  CMOS Inverter as Analog Circuit: An Overview , 2019, Journal of Low Power Electronics and Applications.

[11]  Bapiraju Vinnakota,et al.  High Capacity On-Package Physical Link Considerations , 2019, 2019 IEEE Symposium on High-Performance Interconnects (HOTI).

[12]  Shengchang Cai,et al.  A 32 Gb/s Simultaneous Bidirectional Source-Synchronous Transceiver with Adaptive Echo Cancellation in 28nm CMOS , 2019, 2019 IEEE Custom Integrated Circuits Conference (CICC).

[13]  Abishek Manian,et al.  A Simultaneous Bidirectional Single-Ended Coaxial Link with 24-Gb/s Forward and 312.5-Mb/s Back Channels , 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

[14]  Behzad Dehlaghi Jadid Parallel Ultra-Short Reach Die-to-Die Links , 2017 .

[15]  Pradip Mandal,et al.  Current-Mode Triline Transceiver for Coded Differential Signaling Across On-Chip Global Interconnects , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Anthony Chan Carusone,et al.  Ultra-short-reach interconnects for package-level integration , 2016, 2016 IEEE Optical Interconnects Conference (OI).

[17]  B.A. Wooley,et al.  An 8-Gb/s/pin simultaneously bidirectional transceiver in 0.35-/spl mu/m CMOS , 2004, IEEE Journal of Solid-State Circuits.

[18]  James E. Jaussi,et al.  An 8-Gb/s simultaneous bidirectional link with on-die waveform capture , 2003, IEEE J. Solid State Circuits.

[19]  R. Mooney,et al.  An accurate and efficient analysis method for multi-Gb/s chip-to-chip signaling schemes , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[20]  William J. Dally,et al.  Simultaneous bidirectional signalling for IC systems , 1990, Proceedings., 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[21]  R. Nagisetty,et al.  2.5D and 3D Heterogeneous Integration: Emerging applications , 2021, IEEE Solid-State Circuits Magazine.

[22]  T. Kuroda,et al.  A 20-Gb/s Simultaneous Bidirectional Transceiver Using a Resistor-Transconductor Hybrid in 0.11-µm CMOS , 2007, IEEE J. Solid State Circuits.