Perspectives on Emerging Computation-in-Memory Paradigms
暂无分享,去创建一个
Krishnendu Chakrabarty | Anupam Chattopadhyay | Anteneh Gebregiorgis | Said Hamdioui | Debjyoti Bhattacharjee | Mengyun Liu | Akash Kumar | Shubham Rai | Jens Trommer | K. Chakrabarty | A. Chattopadhyay | Debjyoti Bhattacharjee | S. Hamdioui | Shubham Rai | Akash Kumar | Mengyun Liu | J. Trommer | A. Gebregiorgis
[1] Akash Kumar,et al. Designing Efficient Circuits Based on Runtime-Reconfigurable Field-Effect Transistors , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Akash Kumar,et al. A physical synthesis flow for early technology evaluation of silicon nanowire based reconfigurable FETs , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[3] Thomas Mikolajick,et al. The RFET—a reconfigurable nanowire transistor and its application to novel electronic circuits and systems , 2017 .
[4] Said Hamdioui,et al. A Classification of Memory-Centric Computing , 2020, ACM J. Emerg. Technol. Comput. Syst..
[5] Bing Chen,et al. Efficient in-memory computing architecture based on crossbar arrays , 2015, 2015 IEEE International Electron Devices Meeting (IEDM).
[6] Jintao Yu,et al. Scouting Logic: A Novel Memristor-Based Logic Design for Resistive Computing , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[7] Frederick T. Chen,et al. RRAM Defect Modeling and Failure Analysis Based on March Test and a Novel Squeeze-Search Scheme , 2015, IEEE Transactions on Computers.
[8] L. Chua. Memristor-The missing circuit element , 1971 .
[9] Yu Wang,et al. FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface , 2020, ISLPED.
[10] Sachhidh Kannan,et al. Sneak-Path Testing of Crossbar-Based Nonvolatile Random Access Memories , 2013, IEEE Transactions on Nanotechnology.
[11] Tzu-Hsiang Hsu,et al. AI Edge Devices Using Computing-In-Memory and Processing-In-Sensor: From System to Device , 2019, 2019 IEEE International Electron Devices Meeting (IEDM).
[12] Wei Lu,et al. Short-term Memory to Long-term Memory Transition in a Nanoscale Memristor , 2022 .
[13] Marek A. Perkowski,et al. Logic synthesis and a generalized notation for memristor-realized material implication gates , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[14] Stefan Slesazeck,et al. Mimicking biological neurons with a nanoscale ferroelectric transistor. , 2018, Nanoscale.
[15] Anupam Chattopadhyay,et al. ReVAMP: ReRAM based VLIW architecture for in-memory computing , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[16] Arvind Easwaran,et al. Crossbar-Constrained Technology Mapping for ReRAM Based In-Memory Computing , 2018, IEEE Transactions on Computers.
[17] Yiran Chen,et al. Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[18] Tze-Chiang Chen,et al. Overcoming research challenges for CMOS scaling: industry directions , 2006, 2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings.
[19] H. Mulaosmanovic,et al. Novel ferroelectric FET based synapse for neuromorphic systems , 2017, 2017 Symposium on VLSI Technology.
[20] Henk Corporaal,et al. Memristor based computation-in-memory architecture for data-intensive applications , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[21] Said Hamdioui,et al. Testing Computation-in-Memory Architectures Based on Emerging Memories , 2019, 2019 IEEE International Test Conference (ITC).
[22] Ogun Turkyilmaz,et al. Self-checking ripple-carry adder with Ambipolar Silicon NanoWire FET , 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
[23] S. Gupta,et al. Biologically Plausible Ferroelectric Quasi-Leaky Integrate and Fire Neuron , 2019, 2019 Symposium on VLSI Technology.
[24] Kamalika Datta,et al. Look-ahead mapping of Boolean functions in memristive crossbar array , 2019, Integr..
[25] Gert Cauwenberghs,et al. Memristor for computing: Myth or reality? , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[26] Xu Chen,et al. Edge Intelligence: Paving the Last Mile of Artificial Intelligence With Edge Computing , 2019, Proceedings of the IEEE.
[27] Andrea Calimera,et al. SAID: A Supergate-Aided Logic Synthesis Flow for Memristive Crossbars , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[28] Yu Wang,et al. Computation-oriented fault-tolerance schemes for RRAM computing systems , 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
[29] S. Hamdioui,et al. Why is CMOS scaling coming to an END? , 2008, 2008 3rd International Design and Test Workshop.
[30] Michael Niemier,et al. A Computing-in-Memory Engine for Searching on Homomorphically Encrypted Data , 2019, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
[31] Stefan Slesazeck,et al. Elementary Aspects for Circuit Implementation of Reconfigurable Nanowire Transistors , 2014, IEEE Electron Device Letters.
[32] Xuefei Ning,et al. Fault-tolerant training with on-line fault detection for RRAM-based neural computing systems , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[33] Akash Kumar,et al. Exploiting transistor-level reconfiguration to optimize combinational circuits , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
[34] Giovanni De Micheli,et al. Synthesis and Optimization of Digital Circuits , 1994 .
[35] Wei Lu,et al. The future of electronics based on memristive systems , 2018, Nature Electronics.
[36] Miao Hu,et al. ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[37] D. Ielmini,et al. Logic Computation in Phase Change Materials by Threshold and Memory Switching , 2013, Advanced materials.
[38] Giovanni De Micheli,et al. Configurable Circuits Featuring Dual-Threshold-Voltage Design With Three-Independent-Gate Silicon Nanowire FETs , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[39] Nishil Talati,et al. Logic Design Within Memristive Memories Using Memristor-Aided loGIC (MAGIC) , 2016, IEEE Transactions on Nanotechnology.
[40] Mohammad Javad Sharifi,et al. General SPICE Models for Memristor and Application to Circuit Simulation of Memristor-Based Synapses and Memory Cells , 2010, J. Circuits Syst. Comput..
[41] Martin Trentzsch,et al. Compact FeFET Circuit Building Blocks for Fast and Efficient Nonvolatile Logic-in-Memory , 2020, IEEE Journal of the Electron Devices Society.
[42] Jeffrey T. Draper,et al. A Prototype Processing-In-Memory (PIM) Chip for the Data-Intensive Architecture (DIVA) System , 2005, J. VLSI Signal Process..
[43] Narayanan Vijaykrishnan,et al. Emerging Reconfigurable Nanotechnologies: Can they support Future Electronics? , 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[44] Narayanan Vijaykrishnan,et al. Ferroelectric Transistor based Non-Volatile Flip-Flop , 2016, ISLPED.
[45] C. Y. Lee. Representation of switching circuits by binary-decision programs , 1959 .
[46] Anupam Chattopadhyay,et al. Technology-aware logic synthesis for ReRAM based in-memory computing , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[47] Chun Chen,et al. The architecture of the DIVA processing-in-memory chip , 2002, ICS '02.
[48] Cong Xu,et al. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[49] Otakar A. Horna,et al. Majority-Logic Synthesis , 1963, IEEE Trans. Electron. Comput..
[50] D. Stewart,et al. The missing memristor found , 2008, Nature.
[51] P. R. Stephan,et al. SIS : A System for Sequential Circuit Synthesis , 1992 .
[52] T. Mikolajick,et al. Reconfigurable Si Nanowire Nonvolatile Transistors , 2018 .
[53] Debjyoti Bhattacharjee,et al. SIMPLER MAGIC: Synthesis and Mapping of In-Memory Logic Executed in a Single Row to Improve Throughput , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[54] T. Morie,et al. Three-terminal ferroelectric synapse device with concurrent learning function for artificial neural networks , 2012 .
[55] Stefan Slesazeck,et al. Functionality-Enhanced Logic Gate Design Enabled by Symmetrical Reconfigurable Silicon Nanowire Transistors , 2015, IEEE Transactions on Nanotechnology.
[56] H. Hwang,et al. Analog memory and spike-timing-dependent plasticity characteristics of a nanoscale titanium oxide bilayer resistive switching device , 2011, Nanotechnology.
[57] William J. Dally,et al. Domain-specific hardware accelerators , 2020, Commun. ACM.
[58] Yuan Xie,et al. i2WAP: Improving non-volatile cache lifetime by reducing inter- and intra-set write variations , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[59] Kamalika Datta,et al. Scalable in-memory mapping of Boolean functions in memristive crossbar array using simulated annealing , 2018, J. Syst. Archit..
[60] Krishnendu Chakrabarty,et al. Fault Tolerance for RRAM-Based Matrix Operations , 2018, 2018 IEEE International Test Conference (ITC).
[61] Yu Wang,et al. ICE: Inline calibration for memristor crossbar-based computing engine , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[62] Robert K. Brayton,et al. ESPRESSO-SIGNATURE: A New Exact Minimizer for Logic Functions , 1993, 30th ACM/IEEE Design Automation Conference.
[63] Ian A. Young,et al. CMOS Scaling Trends and Beyond , 2017, IEEE Micro.
[64] Anupam Chattopadhyay,et al. CONTRA: Area-Constrained Technology Mapping Framework For Memristive Memory Processing Unit , 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
[65] Michael T. Niemier,et al. Computing in memory with FeFETs , 2018, ISLPED.
[66] Qian He,et al. Theory study and implementation of configurable ECC on RRAM memory , 2015, 2015 15th Non-Volatile Memory Technology Symposium (NVMTS).
[67] Rolf Drechsler,et al. Fast logic synthesis for RRAM-based in-memory computing using Majority-Inverter Graphs , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[68] N. Cady,et al. Nanoscale Hafnium Oxide RRAM Devices Exhibit Pulse Dependent Behavior and Multi-level Resistance Capability , 2016 .
[69] Stefan Slesazeck,et al. Reconfigurable silicon nanowire transistors. , 2012, Nano letters.
[70] Byung-Gook Park,et al. High-Density Reconfigurable Devices With Programmable Bottom-Gate Array , 2017, IEEE Electron Device Letters.
[71] H. Mulaosmanovic,et al. Reconfigurable NAND/NOR logic gates in 28 nm HKMG and 22 nm FD-SOI FeFET technology , 2017, 2017 IEEE International Electron Devices Meeting (IEDM).
[72] Houman Homayoun,et al. Architecture Exploration for Energy-Efficient Embedded Vision Applications: From General Purpose Processor to Domain Specific Accelerator , 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).
[73] Anupam Chattopadhyay,et al. Combinational logic synthesis for material implication , 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.
[74] L. Goux,et al. Causes and consequences of the stochastic aspect of filamentary RRAM , 2015 .
[75] S. Slesazeck,et al. The Past, the Present, and the Future of Ferroelectric Memories , 2020, IEEE Transactions on Electron Devices.
[76] Thomas Mikolajick,et al. Phase transitions in ferroelectric silicon doped hafnium oxide , 2011 .
[77] Byung-Gook Park,et al. Reconfigurable Field-Effect Transistor as a Synaptic Device for XNOR Binary Neural Network , 2019, IEEE Electron Device Letters.
[78] Tao Zhang,et al. PRIME: A Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[79] Krishnendu Chakrabarty,et al. Analysis of Process Variations, Defects, and Design-Induced Coupling in Memristors , 2018, 2018 IEEE International Test Conference (ITC).
[80] Mika Laiho,et al. Stateful implication logic with memristors , 2009, 2009 IEEE/ACM International Symposium on Nanoscale Architectures.
[81] Rolf Drechsler,et al. An MIG-based compiler for programmable logic-in-memory architectures , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[82] Stefan Slesazeck,et al. Flexible Memory, Bit-Passing and Mixed Logic/Memory Operation of two Intercoupled FeFET Arrays , 2020, ISCAS.
[83] Thomas Mikolajick,et al. Review and perspective on ferroelectric HfO_2-based thin films for memory applications , 2018, MRS Communications.
[84] Heiner Giefers,et al. Mixed-precision in-memory computing , 2017, Nature Electronics.
[85] Xiaogang Wang,et al. DeepID3: Face Recognition with Very Deep Neural Networks , 2015, ArXiv.
[86] Robert K. Brayton,et al. ABC: An Academic Industrial-Strength Verification Tool , 2010, CAV.
[87] Stefan Slesazeck,et al. Demonstration of versatile nonvolatile logic gates in 28nm HKMG FeFET technology , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).
[88] Akash Kumar,et al. Technology mapping flow for emerging reconfigurable silicon nanowire transistors , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[89] Karthik Swaminathan,et al. Guest Editorial: IEEE TC Special Issue on Domain-Specific Architectures for Emerging Applications , 2020, IEEE Trans. Computers.
[90] Kamalika Datta,et al. A Scalable In-Memory Logic Synthesis Approach Using Memristor Crossbar , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[91] S. Slesazeck,et al. A Silicon Nanowire Ferroelectric Field‐Effect Transistor , 2020, Advanced Electronic Materials.
[92] W. Kang,et al. In-memory processing paradigm for bitwise logic operations in STT-MRAM , 2017, 2017 IEEE International Magnetics Conference (INTERMAG).
[93] Michael T. Niemier,et al. Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[94] G. De Micheli,et al. Polarity control in double-gate, gate-all-around vertically stacked silicon nanowire FETs , 2012, 2012 International Electron Devices Meeting.
[95] Dhireesha Kudithipudi,et al. Reconfigurable N-level memristor memory design , 2011, The 2011 International Joint Conference on Neural Networks.
[96] Luca Benini,et al. Applications of Computation-In-Memory Architectures based on Memristive Devices , 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[97] Alvaro Velasquez,et al. Parallel boolean matrix multiplication in linear time using rectifying memristors , 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).
[98] N. Singh,et al. $\hbox{HfO}_{x}/\hbox{TiO}_{x}/\hbox{HfO}_{x}/ \hbox{TiO}_{x}$ Multilayer-Based Forming-Free RRAM Devices With Excellent Uniformity , 2011, IEEE Electron Device Letters.
[99] Nishil Talati,et al. Simple magic: Synthesis and in-memory Mapping of logic execution for memristor-aided logic , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[100] Said Hamdioui,et al. Testing Scouting Logic-Based Computation-in-Memory Architectures , 2020, 2020 IEEE European Test Symposium (ETS).
[101] Scott A. Mahlke,et al. In-Memory Data Parallel Processor , 2018, ASPLOS.
[102] Yun Long,et al. A Ferroelectric FET-Based Processing-in-Memory Architecture for DNN Acceleration , 2019, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.
[103] Anupam Chattopadhyay,et al. Delay-optimal technology mapping for In-Memory Computing using ReRAM devices , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[104] Stephan Wong,et al. Memristive Device Based Circuits for Computation-in-Memory Architectures , 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).
[105] H.-S. Philip Wong,et al. In-memory computing with resistive switching devices , 2018, Nature Electronics.
[106] Ali Farhadi,et al. XNOR-Net: ImageNet Classification Using Binary Convolutional Neural Networks , 2016, ECCV.
[107] J. Poikonen,et al. Erratum for Two memristors suffice to compute all Boolean functions , 2010 .
[108] Krishnendu Chakrabarty,et al. Online Fault Detection in ReRAM-Based Computing Systems by Monitoring Dynamic Power Consumption , 2020, 2020 IEEE International Test Conference (ITC).
[109] Sven Beyer,et al. Ultra-dense co-integration of FeFETs and CMOS logic enabling very-fine grained Logic-in-Memory , 2019, ESSDERC 2019 - 49th European Solid-State Device Research Conference (ESSDERC).
[110] S. Slesazeck,et al. Reconfigurable frequency multiplication with a ferroelectric transistor , 2020 .
[111] T. Mikolajick,et al. A wired-AND transistor: Polarity controllable FET with multiple inputs , 2018, 2018 76th Device Research Conference (DRC).
[112] Said Hamdioui,et al. Memristive Device Modeling and Circuit Design Exploration for Computation-in-Memory , 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).