Parameter Variation Tolerance and Error Resiliency: New Design Paradigm for the Nanoscale Era
暂无分享,去创建一个
[1] Kaushik Roy,et al. Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring , 2005, IEEE International Conference on Test, 2005..
[2] David Bryan,et al. Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.
[3] H. Kufluoglu,et al. MOSFET degradation due to negative bias temperature instability (NBTI) and hot carrier injection (HCI), and its implications for reliability-aware VLSI design , 2007 .
[4] Anantha Chandrakasan,et al. Models of Process Variations in Device and Interconnect , 2001 .
[5] Todd M. Austin,et al. Ultra low-cost defect protection for microprocessor pipelines , 2006, ASPLOS XII.
[6] Rob A. Rutenbar,et al. Statistical blockade: a novel method for very fast Monte Carlo simulation of rare circuit events, and its application , 2007 .
[7] R. Stephenson. A and V , 1962, The British journal of ophthalmology.
[8] Kaushik Roy,et al. O2C: occasional two-cycle operations for dynamic thermal management in high performance in-order microprocessors , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
[9] David Blaauw,et al. Making typical silicon matter with Razor , 2004, Computer.
[10] Nam Sung Kim,et al. Energy-Efficient and Metastability-Immune Timing-Error Detection and Instruction-Replay-Based Recovery Circuits for Dynamic-Variation Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[11] James D. Meindl,et al. Dynamic-threshold CMOS SRAM cells for fast, portable applications , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).
[12] Thomas A. DeMassa,et al. Unified model for Q BD prediction for thin gate oxide MOS devices with constant voltage and current stress , 2000 .
[13] Gregory S. Snider,et al. A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology , 1998 .
[14] Kaushik Roy,et al. Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI , 2006, 2006 International Conference on Computer Design.
[15] Kaushik Roy,et al. A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[16] Sani R. Nassif,et al. Models of process variations in device and interconnect , 2000 .
[17] N. Vallepalli,et al. A 3-GHz 70MB SRAM in 65nm CMOS technology with integrated column-based dynamic power supply , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[18] Rajendran Panda,et al. Duet: an accurate leakage estimation and optimization tool for dual-Vt circuits , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[19] Kaushik Roy,et al. Trifecta: A Nonspeculative Scheme to Exploit Common, Data-Dependent Subcritical Paths , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[20] Todd M. Austin,et al. Polymorphic On-Chip Networks , 2008, 2008 International Symposium on Computer Architecture.
[21] Sani R. Nassif,et al. Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[22] David G. Chinnery,et al. Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization , 2003, ISLPED '03.
[23] Luciano Lavagno,et al. Timed Shannon Circuits: A Power-Efficient Design Style and Synthesis Tool , 1995, 32nd Design Automation Conference.
[24] Stephen H. Gunther,et al. Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .
[25] K. Keutzer,et al. A general probabilistic framework for worst case timing analysis , 2002, Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324).
[26] Gu-Yeon Wei,et al. A Process-Variation-Tolerant Floating-Point Unit with Voltage Interpolation and Variable Latency , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[27] Sarita V. Adve,et al. AS SCALING THREATENS TO ERODE RELIABILITY STANDARDS, LIFETIME RELIABILITY MUST BECOME A FIRST-CLASS DESIGN CONSTRAINT. MICROARCHITECTURAL INTERVENTION OFFERS A NOVEL WAY TO MANAGE LIFETIME RELIABILITY WITHOUT SIGNIFICANTLY SACRIFICING COST AND PERFORMANCE , 2005 .
[28] Chandu Visweswariah,et al. Death, taxes and failing chips , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[29] Sachin S. Sapatnekar,et al. NBTI-Aware Synthesis of Digital Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[30] Koji Nii,et al. A 45-nm Bulk CMOS Embedded SRAM With Improved Immunity Against Process and Temperature Variations , 2008, IEEE Journal of Solid-State Circuits.
[31] David Blaauw,et al. Drowsy caches: simple techniques for reducing leakage power , 2002, ISCA.
[32] Giovanni De Micheli,et al. Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[33] Kaushik Roy,et al. A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[34] S. Kosonocky,et al. Fluctuation limits & scaling opportunities for CMOS SRAM cells , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[35] Anna W. Topol,et al. Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..
[36] Zhi-Quan Luo,et al. Robust gate sizing by geometric programming , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[37] Gu-Yeon Wei,et al. Process Variation Tolerant 3T1D-Based Cache Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[38] Pradip Bose,et al. Metrics for Architecture-Level Lifetime Reliability Analysis , 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.
[39] Kaushik Roy,et al. Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[40] E. H. Nicollian,et al. Mechanism of negative‐bias‐temperature instability , 1991 .
[41] Scott A. Mahlke,et al. BulletProof: a defect-tolerant CMP switch architecture , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[42] C. Fiegna,et al. Hot carrier effects in short MOSFETs at low applied voltages , 1995, Proceedings of International Electron Devices Meeting.
[43] Peng Li,et al. Tracing SRAM separatrix for dynamic noise margin analysis under device mismatch , 2007, 2007 IEEE International Behavioral Modeling and Simulation Workshop.
[44] Kaushik Roy,et al. Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters , 2006, TODE.
[45] Scott A. Mahlke,et al. Architecting a reliable CMP switch architecture , 2007, TACO.
[46] Vivek De,et al. Design and reliability challenges in nanometer technologies , 2004, Proceedings. 41st Design Automation Conference, 2004..
[47] Kevin Skadron,et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[48] D. Kwong,et al. Dynamic Bias-Temperature Instability in Ultrathin SiO2 and HfO2 Metal-Oxide-Semiconductor Field Effect Transistors and Its Impact on Device Lifetime , 2004 .
[49] Ming Zhang,et al. Circuit Failure Prediction and Its Application to Transistor Aging , 2007, 25th IEEE VLSI Test Symposium (VTS'07).
[50] Sachin S. Sapatnekar,et al. Mathematically assisted adaptive body bias (ABB) for temperature compensation in gigascale LSI systems , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[51] Sung-Mo Kang,et al. ILLIADS-T: an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[52] Josep Torrellas,et al. ReCycle:: pipeline adaptation to tolerate process variation , 2007, ISCA '07.
[53] Naresh R. Shanbhag. Reliable and energy-efficient digital signal processing , 2002, DAC '02.
[54] Gu-Yeon Wei,et al. ReVIVaL: A Variation-Tolerant Architecture Using Voltage Interpolation and Variable Latency , 2008, 2008 International Symposium on Computer Architecture.
[55] Kaushik Roy,et al. Voltage Scalable High-Speed Robust Hybrid Arithmetic Units Using Adaptive Clocking , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[56] Mona Attariyan,et al. Low-cost protection for SER upsets and silicon defects , 2007 .
[57] Sachin S. Sapatnekar,et al. Impact of NBTI on SRAM read stability and design for reliability , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[58] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[59] David Blaauw,et al. Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[60] Scott A. Mahlke,et al. Reliable Systems on Unreliable Fabrics , 2008, IEEE Design & Test of Computers.
[61] David Blaauw,et al. Statistical Timing Analysis: From Basic Principles to State of the Art , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[62] C.H. Kim,et al. An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[63] Aaas News,et al. Book Reviews , 1893, Buffalo Medical and Surgical Journal.
[64] M. Hane,et al. A new comprehensive SRAM soft-effor simulation based on 3D device simulation incorporating neutron nuclear reactions , 2003, International Conference on Simulation of Semiconductor Processes and Devices, 2003. SISPAD 2003..
[65] David M. Brooks,et al. Mitigating the Impact of Process Variations on Processor Register Files and Execution Units , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[66] Nishant Patil,et al. Carbon Nanotube circuits in the presence of carbon nanotube density variations , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[67] S. Nassif,et al. Analytical Modeling of SRAM Dynamic Stability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[68] Kaushik Roy,et al. Novel sizing algorithm for yield improvement under process variation in nanometer technology , 2004, Proceedings. 41st Design Automation Conference, 2004..
[69] L. Pileggi,et al. Efficient full-chip thermal modeling and analysis , 2004, ICCAD 2004.
[70] Andrew R. Brown,et al. Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .
[71] B. Weir,et al. A future of function or failure? [CMOS gate oxide scaling] , 2002, IEEE Circuits and Devices Magazine.
[72] Sule Ozev,et al. Tolerating hard faults in microprocessor array structures , 2004, International Conference on Dependable Systems and Networks, 2004.
[73] Kaushik Roy,et al. Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[74] N. Vallepalli,et al. SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction , 2005, IEEE Journal of Solid-State Circuits.
[75] Ping Yang,et al. An Integrated and Efficient Approach for MOS VLSI Statistical Circuit Design , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[76] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[77] R.H. Dennard,et al. 1 µm MOSFET VLSI technology: Part IV—Hot-electron design constraints , 1979, IEEE Transactions on Electron Devices.
[78] Sani R. Nassif,et al. Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[79] Ankur Srivastava. Simultaneous Vt selection and assignment for leakage optimization , 2003, ISLPED '03.
[80] A. S. Grove,et al. Characteristics of the Surface‐State Charge (Qss) of Thermally Oxidized Silicon , 1967 .
[81] S. P. Park,et al. Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance , 2007, ICCAD 2007.
[82] S. Mahlke,et al. Olay : Combat the Signs of Aging with Introspective Reliability Management , 2008 .
[83] D. Sylvester,et al. A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[84] Ching-Te Chuang,et al. Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell , 2004, Proceedings of the 30th European Solid-State Circuits Conference.
[85] Yuan Taur,et al. Fundamentals of Modern VLSI Devices , 1998 .
[86] Kaushik Roy,et al. Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[87] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[88] Sachin S. Sapatnekar,et al. Body Bias Voltage Computations for Process and Temperature Compensation , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[89] Kaushik Roy,et al. A 32 kb 10T Sub-Threshold SRAM Array With Bit-Interleaving and Differential Read Scheme in 90 nm CMOS , 2009, IEEE Journal of Solid-State Circuits.
[90] B.C. Paul,et al. Impact of NBTI on the temporal performance degradation of digital circuits , 2005, IEEE Electron Device Letters.
[91] Xiaodong Li,et al. Architecture-Level Soft Error Analysis: Examining the Limits of Common Assumptions , 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).
[92] A. Alvandpour,et al. A process variation compensating technique for sub-90 nm dynamic circuits , 2003, 2003 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.03CH37408).
[93] Zheng Guo,et al. Large-scale read/write margin measurement in 45nm CMOS SRAM arrays , 2008, 2008 IEEE Symposium on VLSI Circuits.
[94] Arthur Nieuwoudt,et al. Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[95] Rajiv V. Joshi,et al. Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[96] Dongkyu Park,et al. Self-Repairing SRAM for Reducing Parametric Failures in Nanoscaled Memory , 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..
[97] Kaushik Roy,et al. Process Variation Tolerant Low Power DCT Architecture , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[98] Kelin J. Kuhn,et al. Moore's Law Past 32nm: Future Challenges in Device Scaling , 2009, 2009 13th International Workshop on Computational Electronics.
[99] Onur Mutlu,et al. Stall-Time Fair Memory Access Scheduling for Chip Multiprocessors , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[100] Sarita V. Adve,et al. Lifetime reliability aware microprocessors , 2006 .
[101] David A. Patterson,et al. Architecture of a VLSI instruction cache for a RISC , 1983, ISCA '83.
[102] Kaushik Roy,et al. Process variation tolerant SRAM array for ultra low voltage applications , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[103] Rob A. Rutenbar,et al. Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and its Application , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[104] K. Ravindran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[105] Kaushik Roy,et al. A process variation aware low power synthesis methodology for fixed-point FIR filters , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[106] Wei Chen,et al. The 65-nm 16-MB Shared On-Die L3 Cache for the Dual-Core Intel Xeon Processor 7100 Series , 2007, IEEE Journal of Solid-State Circuits.
[107] Kaushik Roy,et al. CRISTA: A New Paradigm for Low-Power, Variation-Tolerant, and Adaptive Circuit Synthesis Using Critical Path Isolation , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[108] H. Wong,et al. Impact of a Process Variation on Nanowire and Nanotube Device Performance , 2007, IEEE Transactions on Electron Devices.
[109] Kaushik Roy,et al. A Statistical Approach to Area-Constrained Yield Enhancement for Pipelined Circuits under Parameter Variations , 2005, 14th Asian Test Symposium (ATS'05).
[110] Melvin A. Breuer,et al. Digital systems testing and testable design , 1990 .
[111] K. Roy,et al. Theory of Multi-tube Carbon Nanotube Transistors for High Speed Variation-Tolerant Circuits , 2008, 2008 Device Research Conference.
[112] H.-S. Philip Wong,et al. Automated Design of Misaligned-Carbon-Nanotube-Immune Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[113] M. Orshansky,et al. Joint Design-Time and Post-Silicon Minimization of Parametric Yield Loss using Adjustable Robust Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[114] Kaushik Roy,et al. NBTI induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution? , 2008, 2008 Asia and South Pacific Design Automation Conference.
[115] Sachin S. Sapatnekar,et al. Convex delay models for transistor sizing , 2000, DAC.
[116] Chaitali Chakrabarti,et al. Design methodology to trade off power, output quality and error resiliency: application to color interpolation filtering , 2007, ICCAD 2007.
[117] A.P. Chandrakasan,et al. A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.
[118] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[119] David M. Bull,et al. RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.
[120] Sani R. Nassif,et al. Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.
[121] David Blaauw,et al. Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[122] C.H. Kim,et al. Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits , 2007, 2007 IEEE Symposium on VLSI Circuits.
[123] Kaushik Roy,et al. Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ , 2007, 2007 IEEE International Test Conference.
[124] Onur Mutlu,et al. Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[125] Kaushik Roy,et al. Low-power process-variation tolerant arithmetic units using input-based elastic clocking , 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
[126] David Blaauw,et al. Variation-aware gate sizing and clustering for post-silicon optimized circuits , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
[127] Kevin Reick,et al. Power4 System Design for High Reliability , 2002, IEEE Micro.
[128] A. Chatterjee,et al. Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[129] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[130] Andrew B. Kahng,et al. Manufacturing-aware physical design , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[131] J. Bokor,et al. Sensitivity of double-gate and FinFETDevices to process variations , 2003 .
[132] David Blaauw,et al. Razor: circuit-level correction of timing errors for low-power operation , 2004, IEEE Micro.
[133] David Blaauw,et al. Parametric yield estimation considering leakage variability , 2004, Proceedings. 41st Design Automation Conference, 2004..
[134] Yun Zhang,et al. Revisiting the Sequential Programming Model for Multi-Core , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[135] Jaijeet S. Roychowdhury,et al. Rapid Estimation of the Probability of SRAM Failure due to MOS Threshold Variations , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[136] Sarita V. Adve,et al. Exploiting Structural Duplication for Lifetime Reliability Enhancement , 2005, ISCA 2005.
[137] Kaushik Roy,et al. Statistical modeling of pipeline delay and design of pipeline under process variation to enhance yield in sub-100nm technologies , 2005, Design, Automation and Test in Europe.
[138] Charlie Chung-Ping Chen,et al. 3-D Thermal-ADI: a linear-time chip level transient thermal simulator , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[139] Shuguang Feng,et al. Self-calibrating Online Wearout Detection , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[140] Yu Cao,et al. An efficient method to identify critical gates under circuit aging , 2007, ICCAD 2007.
[141] Kaushik Roy,et al. Process-Tolerant Low-Power Adaptive Pipeline under Scaled-Vdd , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[142] C.H. Kim,et al. A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing , 2008, IEEE Journal of Solid-State Circuits.
[143] Charlie Chung-Ping Chen,et al. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, ICCAD.
[144] K. Roy,et al. A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.
[145] Onur Mutlu,et al. Microarchitecture-based introspection: a technique for transient-fault tolerance in microprocessors , 2005, 2005 International Conference on Dependable Systems and Networks (DSN'05).
[146] Kaushik Roy,et al. Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[147] C. Cabral,et al. A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates , 2006, 2006 Symposium on VLSI Technology, 2006. Digest of Technical Papers..
[148] David Blaauw,et al. Compact In-Situ Sensors for Monitoring Negative-Bias-Temperature-Instability Effect and Oxide Degradation , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[149] Sachin S. Sapatnekar,et al. Adaptive techniques for overcoming performance degradation due to aging in digital circuits , 2009, 2009 Asia and South Pacific Design Automation Conference.
[150] Philip N. Strenski,et al. Uncertainty-aware circuit optimization , 2002, DAC '02.
[151] Keshab K. Parhi,et al. Low power synthesis of dual threshold voltage CMOS VLSI circuits , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[152] Guido Groeseneken,et al. Positive Bias Temperature Instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics , 2005 .
[153] M. Alam,et al. A future of function or failure , 2002 .
[154] H. Pilo,et al. An SRAM Design in 65-nm Technology Node Featuring Read and Write-Assist Circuits to Expand Operating Voltage , 2007, IEEE Journal of Solid-State Circuits.
[155] W. Dehaene,et al. Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies , 2006, IEEE Journal of Solid-State Circuits.
[156] James Tschanz,et al. Total power optimization by simultaneous dual-Vt allocation and device sizing in high performance microprocessors , 2002, DAC '02.
[157] Y. Massoud,et al. On the Impact of Process Variations for Carbon Nanotube Bundles for VLSI Interconnect , 2007, IEEE Transactions on Electron Devices.
[158] Pradip Bose,et al. Exploiting structural duplication for lifetime reliability enhancement , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[159] W. Marsden. I and J , 2012 .
[160] Kaustav Banerjee,et al. Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[161] N. Vallepalli,et al. A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply , 2005, IEEE Journal of Solid-State Circuits.
[162] Vivek De,et al. Intrinsic MOSFET parameter fluctuations due to random dopant placement , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[163] Sachin S. Sapatnekar,et al. A framework for block-based timing sensitivity analysis , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[164] David Blaauw,et al. Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..
[165] K. Roy,et al. A New Paradigm for Low-power, Variation-Tolerant Circuit Synthesis Using Critical Path Isolation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.
[166] S. Nassif,et al. Full chip leakage-estimation considering power supply and temperature variations , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[167] Ankur Srivastava,et al. Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation , 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[168] Ankur Srivastava,et al. Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[169] J. Meindl,et al. The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.
[170] Aristos Christou,et al. Failure mechanism models for electromigration , 1994 .
[171] Kaushik Roy,et al. Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[172] Mark C. Johnson,et al. Design and optimization of low voltage high performance dual threshold CMOS circuits , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[173] Sule Ozev,et al. A mechanism for online diagnosis of hard faults in microprocessors , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).