Dependability Improvements of NoC-Based Systems
暂无分享,去创建一个
[1] Luca Benini,et al. Network-on-chip architectures and design methods , 2005 .
[2] Jaan Raik,et al. Automated area and coverage optimization of minimal latency checkers , 2017, 2017 22nd IEEE European Test Symposium (ETS).
[3] Jaan Raik,et al. Logic-based implementation of fault-tolerant routing in 3D network-on-chips , 2016, 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).
[4] Masoumeh Ebrahimi,et al. A Resilient Routing Algorithm with Formal Reliability Analysis for Partially Connected 3D-NoCs , 2016, IEEE Transactions on Computers.
[5] Khanh N. Dang,et al. A Comprehensive Reliability Assessment of Fault-Resilient Network-on-Chip Using Analytical Model , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Davide Bertozzi,et al. Designing Network On-Chip Architectures in the Nanoscale Era , 2010 .
[7] R. Iris Bahar,et al. Using Implications for Online Error Detection , 2008, 2008 IEEE International Test Conference.
[8] Hannu Tenhunen,et al. Minimal-path fault-tolerant approach using connection-retaining structure in Networks-on-Chip , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[9] Johnny Öberg,et al. Utilizing NoC Switches as BIST Structures in 2D-Mesh Network-on-Chips , 2006 .
[10] José Duato,et al. Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[11] Federico Silla,et al. Addressing Manufacturing Challenges with Cost-Efficient Fault Tolerant Routing , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[12] Valeria Bertacco,et al. Functional correctness for CMP interconnects , 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).
[13] Klaus Hofmann,et al. Dynamic quadrant partitioning adaptive routing algorithm for irregular reduced vertical link density topology 3-Dimensional Network-on-Chips , 2014, 2014 International Conference on High Performance Computing & Simulation (HPCS).
[14] Davide Bertozzi,et al. Optimizing the overhead for network-on-chip routing reconfiguration in parallel multi-core platforms , 2013, 2013 International Symposium on System on Chip (SoC).
[15] Sudeep Pasricha,et al. A low overhead fault tolerant routing scheme for 3D Networks-on-Chip , 2011, 2011 12th International Symposium on Quality Electronic Design.
[16] Jaan Raik,et al. Automated minimization of concurrent online checkers for Network-on-Chips , 2015, 2015 10th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).
[17] Jay M. Berger. A Note on Error Detection Codes for Asymmetric Channels , 1961, Inf. Control..
[18] Federico Silla,et al. Cost-Efficient On-Chip Routing Implementations for CMP and MPSoC Systems , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[19] Valeria Bertacco,et al. Cardio: CMP Adaptation for Reliability Through Dynamic Introspective Operation , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[20] Artur Jutman,et al. Turbo Tester – diagnostic package for research and training , 2003 .
[21] Jun Zhou,et al. HARS: A High-Performance Reliable Routing Scheme for 3D NoCs , 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.
[22] Takahiro Watanabe,et al. A novel fully adaptive fault-tolerant routing algorithm for 3D Network-on-Chip , 2013, 2013 IEEE International Conference of IEEE Region 10 (TENCON 2013).
[23] Michele Favalli,et al. Exploiting Network-on-Chip structural redundancy for a cooperative and scalable built-in self-test architecture , 2011, 2011 Design, Automation & Test in Europe.
[24] Sebastian Werner,et al. A Survey on Design Approaches to Circumvent Permanent Faults in Networks-on-Chip , 2016, ACM Comput. Surv..
[25] Priyanka Mitra. LBDR3D: Fault tolerant routing scheme for 3D NoCs , 2015, 2015 Annual IEEE India Conference (INDICON).
[26] Alessandro Strano,et al. OSR-Lite: Fast and deadlock-free NoC reconfiguration framework , 2012, 2012 International Conference on Embedded Computer Systems (SAMOS).
[27] Kewal K. Saluja,et al. An implementation and analysis of a concurrent built-in self-test technique , 1988, [1988] The Eighteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.
[28] Vijay Laxmi,et al. d2-LBDR: Distance-driven routing to handle permanent failures in 2D mesh NoCs , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[29] Zainalabedin Navabi,et al. Using the Inter- and Intra-Switch Regularity in NoC Switch Testing , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[30] Jaan Raik,et al. Fault-resilient NoC router with transparent resource allocation , 2017, 2017 12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC).
[31] Emmanouil Kalligeros,et al. Low-cost fault-tolerant switch allocator for network-on-chip routers , 2012, INA-OCMC '12.
[32] Partha Pratim Pande,et al. On-line fault detection and location for NoC interconnects , 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).
[33] R. Iris Bahar,et al. Enhancing online error detection through area-efficient multi-site implications , 2011, 29th VLSI Test Symposium.
[34] Johnny Öberg,et al. Toward a Scalable Test Methodology for 2D-mesh Network-on-Chips , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[35] Salvatore Monteleone,et al. Cycle-Accurate Network on Chip Simulation with Noxim , 2016, ACM Trans. Model. Comput. Simul..
[36] Dirk Stroobandt,et al. Dynamically Reconfigurable Architecture for Fault-Tolerant 2D Networks-on-Chip , 2017, 2017 26th International Conference on Computer Communication and Networks (ICCCN).
[37] Luca Benini,et al. Networks on Chips: 15 Years Later , 2017, Computer.
[38] Chrysostomos Nicopoulos,et al. NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[39] Paul Ampadu,et al. Exploiting inherent information redundancy to manage transient errors in NoC routing arbitration , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[40] Valeria Bertacco,et al. ForEVeR: A complementary formal and runtime verification approach to correct NoC functionality , 2014, ACM Trans. Embed. Comput. Syst..
[41] Armin Alaghi,et al. Online NoC Switch Fault Detection and Diagnosis Using a High Level Fault Model , 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).
[42] Priyanka Mitra. TARAS: A topology agnostic routing algorithm using segmentation strategy for 3D NoC , 2016, 2016 International Conference on Computing, Communication and Automation (ICCCA).
[43] Niyati Gupta,et al. σLBDR: Congestion-aware logic based distributed routing for 2D NoC , 2015, 2015 19th International Symposium on VLSI Design and Test.
[44] Kwang-Ting Cheng,et al. Comprehensive online defect diagnosis in on-chip networks , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).
[45] Guangjun Li,et al. Tolerating transient illegal turn faults in NoCs , 2016, Microprocess. Microsystems.
[46] Nur A. Touba,et al. Synthesis of low power CED circuits based on parity codes , 2005, 23rd IEEE VLSI Test Symposium (VTS'05).
[47] Raimund Ubar,et al. From online fault detection to fault management in Network-on-Chips: A ground-up approach , 2017, 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).
[48] Jaan Raik,et al. A Framework for Combining Concurrent Checking and On-Line Embedded Test for Low-Latency Fault Detection in NoC Routers , 2015, NOCS.
[49] Luca Benini,et al. A distributed and topology-agnostic approach for on-line NoC testing , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[50] Luca Benini,et al. At-Speed Distributed Functional Testing to Detect Logic and Delay Faults in NoCs , 2014, IEEE Transactions on Computers.
[51] Nacer-Eddine Zergainoh,et al. Rout3D: A lightweight adaptive routing algorithm for tolerating faulty vertical links in 3D-NoCs , 2017, 2017 22nd IEEE European Test Symposium (ETS).
[52] Frédéric Pétrot,et al. Elevator-First: A Deadlock-Free Distributed Routing Algorithm for Vertically Partially Connected 3D-NoCs , 2013, IEEE Transactions on Computers.
[53] William J. Dally,et al. Principles and Practices of Interconnection Networks , 2004 .
[54] S. Katkoori,et al. Selective triple Modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs , 2004, IEEE Transactions on Nuclear Science.
[55] Atefe Dalirsani,et al. Self-diagnosis in Network-on-Chips , 2015 .
[56] Ahmad Patooghy,et al. Advertiser elevator: A fault tolerant routing algorithm for partially connected 3D Network-on-Chips , 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).
[57] David Blaauw,et al. Vicis: A reliable network for unreliable silicon , 2009, 2009 46th ACM/IEEE Design Automation Conference.
[58] Axel Jantsch,et al. A Low-Overhead Fault-Aware Deflection Routing Algorithm for 3D Network-on-Chip , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.
[59] Masaru Fukushi,et al. A fault-tolerant routing method for 2D-mesh Network-on-Chips based on components of a router , 2016, 2016 IEEE 5th Global Conference on Consumer Electronics.
[60] Masoumeh Ebrahimi,et al. An Adaptive, Low Restrictive and Fault Resilient Routing Algorithm for 3D Network-on-Chip , 2015, 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing.
[61] Samuel Rodrigo Mocholi. Cost Effective Routing Implementations for On-chip Networks , 2011 .
[62] σ n LBDR: generic congestion handling routing implementation for two-dimensional mesh network-on-chip , 2016, IET Comput. Digit. Tech..
[63] Sudhakar Yalamanchili,et al. Interconnection Networks: An Engineering Approach , 2002 .
[64] Paulo Cortez,et al. Scenario preprocessing approach for the reconfiguration of fault-tolerant NoC-based MPSoCs , 2016, Microprocess. Microsystems.
[65] Marcello Coppola,et al. Efficient Routing in Heterogeneous SoC Designs with Small Implementation Overhead , 2014, IEEE Transactions on Computers.
[66] Davide Bertozzi,et al. Synergistic use of multiple on-chip networks for ultra-low latency and scalable distributed routing reconfiguration , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[67] Jaan Raik,et al. Extended checkers for Logic-Based Distributed Routing in Network-on-Chips , 2014, 2014 14th Biennial Baltic Electronic Conference (BEC).
[68] Elena Dubrova,et al. Fault-Tolerant Design , 2013 .
[69] Raimund Ubar,et al. Ultra Fast Parallel Fault Analysis on Structurally Synthesized BDDs , 2007, 12th IEEE European Test Symposium (ETS'07).
[70] Selma Saidi,et al. Designing Networks-on-Chip for High Assurance Real-Time Systems , 2017, 2017 IEEE 22nd Pacific Rim International Symposium on Dependable Computing (PRDC).
[71] Nur A. Touba,et al. Synthesis of low-cost parity-based partially self-checking circuits , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..
[72] Mahmood Fathy,et al. AFRA: A low cost high performance reliable routing for 3D mesh NoCs , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[73] Selma Saidi,et al. Bridging the Gap between Resilient Networks-on-Chip and Real-Time Systems , 2020, IEEE Transactions on Emerging Topics in Computing.
[74] Paul Ampadu,et al. Self-Adaptive System for Addressing Permanent Errors in On-Chip Interconnects , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[75] Paul Ampadu,et al. Transient and Permanent Error Control for High-End Multiprocessor Systems-on-Chip , 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.
[76] Martin Radetzki,et al. Multi-Layer Diagnosis for Fault-Tolerant Networks-on-Chip , 2017, IEEE Transactions on Computers.
[77] Nur A. Touba,et al. Synthesis of Circuits with Low-Cost Concurrent Error Detection Based on Bose-Lin Codes , 1999, J. Electron. Test..
[78] Chrysostomos Nicopoulos,et al. An Online and Real-Time Fault Detection and Localization Mechanism for Network-on-Chip Architectures , 2016, ACM Trans. Archit. Code Optim..
[79] Ahmad Khademzadeh,et al. Link Testing: a Survey of Current Trends in Network on Chip , 2017, Journal of Electronic Testing.
[80] Axel Jantsch,et al. Methods for fault tolerance in networks-on-chip , 2013, CSUR.
[81] Yiorgos Makris,et al. Concurrent fault detection in random combinational logic , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..