FLOSS: FLOw Sensitive Scheduling on Mobile Platforms
暂无分享,去创建一个
Mahmut T. Kandemir | Chita R. Das | Anand Sivasubramaniam | Haibo Zhang | Prasanna Venkatesh Rengasamy | Shulin Zhao | Nachiappan Chidambaram Nachiappan
[1] Slo-Li Chu,et al. MediaMem: A dynamically adjustable memory subsystem for high-bandwidth required multimedia SoC systems , 2008, 2008 13th Asia-Pacific Computer Systems Architecture Conference.
[2] Kevin Kai-Wei Chang,et al. DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators , 2016, ACM Trans. Archit. Code Optim..
[3] Mahmut T. Kandemir,et al. GemDroid: a framework to evaluate mobile platforms , 2014, SIGMETRICS '14.
[4] Mahmut T. Kandemir,et al. Data Movement Aware Computation Partitioning , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[5] Hui Zhang,et al. Service disciplines for guaranteed performance service in packet-switching networks , 1995, Proc. IEEE.
[6] Mahmut T. Kandemir,et al. Improving bank-level parallelism for irregular applications , 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[7] Mahmut T. Kandemir,et al. Race-To-Sleep + Content Caching + Display Caching: A Recipe for Energy-efficient Video Streaming on Handhelds , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[8] Mahmut T. Kandemir,et al. Hardware-Software Co-design to Mitigate DRAM Refresh Overheads: A Case for Refresh-Aware Process Scheduling , 2017, ASPLOS.
[9] Steve Youn-Long Lin. Essential issues in SOC design : designing Complex Systems-on-Chip , 2006 .
[10] James E. Smith,et al. Fair Queuing Memory Systems , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[11] Mahmut T. Kandemir,et al. Characterizing diverse handheld apps for customized hardware acceleration , 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).
[12] Mahmut T. Kandemir,et al. VIP: Virtualizing IP chains on handheld platforms , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[13] Mattan Erez,et al. A QoS-aware memory controller for dynamically balancing GPU and CPU bandwidth use in an MPSoC , 2012, DAC Design Automation Conference 2012.
[14] Lixia Zhang,et al. VirtualClock: a new traffic control algorithm for packet-switched networks , 1991, TOCS.
[15] Bruce Jacob,et al. DRAMSim2: A Cycle Accurate Memory System Simulator , 2011, IEEE Computer Architecture Letters.
[16] William J. Dally,et al. Memory access scheduling , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[17] Feng Li,et al. A Criticality-Aware DVFS Runtime Utility for Optimizing Power Efficiency of Multithreaded Applications , 2014, 2014 IEEE International Parallel & Distributed Processing Symposium Workshops.
[18] Mahmut T. Kandemir,et al. Domain knowledge based energy management in handhelds , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[19] Mor Harchol-Balter,et al. Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[20] Vhe Corp. samsung galaxy s5 , 2013 .