Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods
暂无分享,去创建一个
[1] Allen C.-H. Wu,et al. A predictive system shutdown method for energy saving of event-driven computation , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[2] Sung-Mo Kang,et al. Interconnect thermal modeling for accurate simulation of circuittiming and reliability , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[3] Giovanni De Micheli,et al. Adaptive hard disk power management on personal computers , 1999, Proceedings Ninth Great Lakes Symposium on VLSI.
[4] D. Pal,et al. Application of phase change materials for passive thermal control of plastic quad flat packages (PQFP): a computational study , 1995, Proceedings of 1995 IEEE/CPMT 11th Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).
[5] Tong Li,et al. Efficient transient electrothermal simulation of CMOS VLSI circuits under electrical overstress , 1998, ICCAD '98.
[6] M. Kleiner,et al. Thermal analysis of vertically integrated circuits , 1995, Proceedings of International Electron Devices Meeting.
[7] Sung-Mo Kang,et al. ETS-A: a new electrothermal simulator for CMOS VLSI circuits , 1996, Proceedings ED&TC European Design and Test Conference.
[8] Man Lung Mui,et al. Power supply optimization in sub-130 nm leakage dominant technologies , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[9] Sheldon X.-D. Tan,et al. Efficient very large scale integration power/ground network sizing based on equivalent circuit modeling , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[10] Peter Schwarz,et al. Electro-thermal circuit simulation using simulator coupling , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[11] Kaustav Banerjee,et al. Multiple Si layer ICs: motivation, performance analysis, and design implications , 2000, Proceedings 37th Design Automation Conference.
[12] W. Stubstad. The Application of Thermoelectric Spot Cooling to Electronic Equipment , 1961 .
[13] Sung-Mo Kang,et al. An efficient method for hot-spot identification in ULSI circuits , 1999, ICCAD '99.
[14] Hidetoshi Onodera,et al. Estimation of short-circuit power dissipation and its influence on propagation delay for static CMOS gates , 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.
[15] Luca Benini,et al. Policy optimization for dynamic power management , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[16] Charlie Chung-Ping Chen,et al. 3-D Thermal-ADI: a linear-time chip level transient thermal simulator , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[17] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[18] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[19] Atila Alvandpour,et al. Separation and extraction of short-circuit power consumption in digital CMOS VLSI circuits , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
[20] Ping-Chung Li,et al. Electromigration: the time bomb in deep-submicron ICs , 1996 .
[21] Natesan Venkateswaran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] A. Haji-sheikh. Peak temperature in high-power chips , 1990 .
[23] Kaustav Banerjee,et al. Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[24] Márta Rencz,et al. Electro-thermal and logi-thermal simulation of VLSI designs , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[25] Alberto L. Sangiovanni-Vincentelli,et al. On thermal effects in deep sub-micron VLSI interconnects , 1999, DAC '99.
[26] Steven Hsu,et al. Effectiveness and scaling trends of leakage control techniques for sub-130nm CMOS technologies , 2003, ISLPED '03.
[27] Qinru Qiu,et al. Stochastic modeling of a power-managed system: construction and optimization , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[28] Seok-Yoon Kim,et al. Short circuit power estimation of static CMOS circuits , 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).
[29] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[30] J. Black,et al. Electromigration—A brief survey and some recent results , 1969 .
[31] Anand Sivasubramaniam,et al. Disk drive roadmap from the thermal perspective: a case for dynamic thermal management , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[32] Sung-Mo Kang,et al. Circuit-level electrothermal simulation of electrical overstress failures in advanced MOS I/O protection devices , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[33] George Stamoulis,et al. Voltage-drop-constrained optimization of power distribution network based on reliable maximum current estimates , 2004, ICCAD 2004.
[34] Massoud Pedram,et al. Stochastic modeling of a power-managed system-construction andoptimization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[35] Massoud Pedram,et al. Standby and Active Leakage Current Control and Minimization in CMOS VLSI Circuits , 2005, IEICE Trans. Electron..
[36] Anantha Chandrakasan,et al. Three-dimensional integrated circuits: performance, design methodology, and CAD tools , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
[37] M. N. Özişik. Boundary value problems of heat conduction , 1989 .
[38] A. Bontemps,et al. Realistic and efficient simulation of electro-thermal effects in VLSI circuits , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[39] Erven Rohou,et al. Dynamically Managing Processor Temperature and Power , 1999 .
[40] Massoud Pedram,et al. Hierarchical power management with application to scheduling , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
[41] Sarita V. Adve,et al. Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.
[42] Massoud Pedram,et al. Ground bounce in digital VLSI circuits , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[43] Y. Lacasse,et al. From the authors , 2005, European Respiratory Journal.
[44] Sandy Irani,et al. Online strategies for dynamic power management in systems with multiple power-saving states , 2003, TECS.
[45] Paolo Maffezzoni,et al. An Arnoldi based thermal network reduction method for electro-thermal analysis , 2003 .
[46] Jeng-Liang Tsai,et al. Thermal and power integrity based power/ground networks optimization , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[47] Yuan Taur,et al. Fundamentals of Modern VLSI Devices , 1998 .
[48] Ibrahim N. Hajj,et al. Simulation and optimization of the power distribution network in VLSI circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[49] B. R. Livesay,et al. Dislocation based mechanisms in electromigration , 1992, 30th Annual Proceedings Reliability Physics 1992.
[50] Charlie Chung-Ping Chen,et al. SPICE-compatible thermal simulation with lumped circuit modeling for thermal reliability analysis based on modeling order reduction , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[51] Sani R. Nassif,et al. Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.
[52] S. Lindenkreuz,et al. Fully coupled dynamic electro-thermal simulation , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[53] Luca Benini,et al. Dynamic power management using adaptive learning tree , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[54] J. P. Fradin,et al. Automatic Computation of Conductive Conductances Intervening in the Thermal Chain , 1995 .
[55] Koichiro Ishibashi. Special Section on Low-Power LSI and Low-Power IP , 2005, IEICE Trans. Electron..
[56] Takashi SATO,et al. On-chip thermal gradient analysis and temperature flattening for SoC design , 2005, ASP-DAC '05.
[57] Kaustav Banerjee,et al. Compact modeling and SPICE-based simulation for electrothermal analysis of multilevel ULSI interconnects , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[58] Stephen H. Gunther,et al. Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .
[59] Sani R. Nassif,et al. Modeling and analysis of manufacturing variations , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).
[60] R. V. Andrews. SOLVING CONDUCTIVE HEAT TRANSFER PROBLEMS WITH ELECTRICAL-ANALOGUE SHAPE FACTORS , 1955 .
[61] Allen C.-H. Wu,et al. A predictive system shutdown method for energy saving of event-driven computation , 1997, ICCAD 1997.
[62] Nestoras E. Evmorfopoulos,et al. Voltage-drop-constrained optimization of power distribution network based on reliable maximum current estimates , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[63] John Choma,et al. A dynamic thermal management circuit for system-on-chip designs , 2001, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483).
[64] Sung-Mo Kang,et al. ILLIADS-T: an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[65] H. Wong,et al. CMOS scaling into the nanometer regime , 1997, Proc. IEEE.
[66] Hendrikus J. M. Veendrick,et al. Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .
[67] Mani B. Srivastava,et al. Predictive system shutdown and other architectural techniques for energy efficient programmable computation , 1996, IEEE Trans. Very Large Scale Integr. Syst..
[68] D. Troxel,et al. Circuit-level reliability requirements for Cu metallization , 2005, IEEE Transactions on Device and Materials Reliability.
[69] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[70] Herming Chiueh,et al. A Dynamic Thermal Management Circuit for System-On-Chip Designs , 2001 .
[71] Kevin Skadron,et al. Temperature-Aware Computer Systems: Opportunities and Challenges , 2003, IEEE Micro.
[72] Massoud Pedram,et al. Determining the Optimal Timeout Values for a Power-Managed System based on the Theory of Markovian Processes: Offline and Online Algorithms , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[73] Andras Poppe,et al. Electro-thermal simulation: a realization by simultaneous iteration , 1997 .
[74] K. Ravindran,et al. First-Order Incremental Block-Based Statistical Timing Analysis , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[75] Yong Zhan,et al. Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[76] K. Banerjee,et al. Scaling analysis of multilevel interconnect temperatures for high-performance ICs , 2005, IEEE Transactions on Electron Devices.
[77] Radu Marculescu,et al. Hierarchical adaptive dynamic power management , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[78] Kevin Barraclough,et al. I and i , 2001, BMJ : British Medical Journal.
[79] Nobuto Ono,et al. On-Chip Thermal Gradient Analysis and Temperature Flattening for SoC Design , 2005, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..
[80] Massoud Pedram,et al. VGTA: variation-aware gate timing analysis , 2005, 2005 International Conference on Computer Design.
[81] C. Hu,et al. BSIM4 gate leakage model including source-drain partition , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[82] Kaustav Banerjee,et al. Analysis of non-uniform temperature-dependent interconnect performance in high performance ICs , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[83] W. Robert Daasch,et al. A thermal-aware superscalar microprocessor , 2002, Proceedings International Symposium on Quality Electronic Design.
[84] S. Nassif,et al. Full chip leakage-estimation considering power supply and temperature variations , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[85] Kaustav Banerjee,et al. Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[86] R. Reif,et al. Thermal analysis of three-dimensional (3-D) integrated circuits (ICs) , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[87] Pinaki Mazumder,et al. Fast thermal analysis for VLSI circuits via semi-analytical Green's function in multi-layer materials , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[88] Massoud Pedram,et al. Dynamic power management of complex systems using generalized stochastic Petri nets , 2000, DAC.