UC-PHOTON: A novel hybrid photonic network-on-chip for multiple use-case applications

Multiple use-case chip multiprocessor (CMP) applications require adaptive on-chip communication fabrics to cope with changing use-case performance needs. Networks-on-chip (NoC) have recently gained popularity as scalable and adaptive on-chip communication fabrics, but suffer from prohibitive power dissipation. In this paper we propose UCPHOTON, a novel hybrid photonic NoC communication architecture optimized to cope with the variable bandwidth and latency constraints of multiple use-case applications implemented on CMPs. Our detailed experimental results indicate that UC-PHOTON can effectively adapt to meet diverse use-case traffic requirements and optimize energy-delay product and power dissipation, with scaling CMP core count and multiple use-case complexity. For the five multiple use-case applications explored in this work, UC-PHOTON shows up to 46× reduction in power dissipation and up to 170× reduction in energy-delay product compared to traditional electrical NoC fabrics, highlighting the benefits of using the novel communication fabric.

[1]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[2]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[3]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[4]  Nikil Dutt,et al.  Synthesis of On-Chip Communication Architectures , 2008 .

[5]  Srinivasan Murali,et al.  Mapping and configuration methods for multi-use-case networks on chips , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[6]  Kees G. W. Goossens,et al.  Undisrupted Quality-of-Service during Reconfiguration of Multiple Applications in Networks on Chip , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[7]  F. Xia,et al.  High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks , 2008 .

[8]  Ian O'Connor,et al.  Optical solutions for system-level interconnect , 2004, SLIP '04.

[9]  F. Xia,et al.  Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects. , 2007, Optics express.

[10]  Y. Vlasov,et al.  Losses in single-mode silicon-on-insulator strip waveguides and bends. , 2004, Optics express.

[11]  Y. Vlasov,et al.  Ultrafast-pulse self-phase modulation and third-order dispersion in Si photonic wire-waveguides. , 2006, Optics express.

[12]  Sunao Torii,et al.  On-Chip Optical Interconnect , 2009, Proceedings of the IEEE.

[13]  Donald M. Chiarulli,et al.  High-speed optoelectronics receivers in SiGe , 2004, 17th International Conference on VLSI Design. Proceedings..

[14]  Ming Li,et al.  DyXY - a proximity congestion-aware deadlock-free dynamic routing method for network on chip , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[15]  D. Kuzum,et al.  Silicon Germanium CMOS Optoelectronic Switching Device: Bringing Light to Latch , 2007, IEEE Transactions on Electron Devices.

[16]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[17]  Eby G. Friedman,et al.  On-chip optical interconnect roadmap: challenges and critical directions , 2005 .

[18]  William J. Dally,et al.  Research Challenges for On-Chip Interconnection Networks , 2007, IEEE Micro.

[19]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[20]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[21]  Luca P. Carloni,et al.  The Case for Low-Power Photonic Networks on Chip , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[22]  Oded Cohen,et al.  Mode-locked silicon evanescent lasers. , 2007, Optics express.

[23]  Jun Shirako,et al.  An 8640 MIPS SoC with Independent Power-Off Control of 8 CPUs and 8 RAMs by An Automatic Parallelizing Compiler , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[24]  Jan M. Rabaey,et al.  Digital Integrated Circuits , 2003 .

[25]  Nikil D. Dutt,et al.  Trends in Emerging On-Chip Interconnect Technologies , 2008, IPSJ Trans. Syst. LSI Des. Methodol..

[26]  Kees G. W. Goossens,et al.  A unified approach to constrained mapping and routing on network-on-chip architectures , 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

[27]  S. Asano,et al.  The design and implementation of a first-generation CELL processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[28]  K. Bergman,et al.  First demonstration of on-chip wavelength multicasting , 2009, 2009 Conference on Optical Fiber Communication - incudes post deadline papers.

[29]  Nikil D. Dutt,et al.  Extending the transaction level modeling approach for fast communication architecture exploration , 2004, Proceedings. 41st Design Automation Conference, 2004..

[30]  Atsuhiro Suga,et al.  Introducing the FR500 Embedded Microprocessor , 2000, IEEE Micro.

[31]  D. Van Thourhout,et al.  Compact Wavelength-Selective Functions in Silicon-on-Insulator Photonic Wires , 2006, IEEE Journal of Selected Topics in Quantum Electronics.

[32]  Sujit Dey,et al.  Design of high-performance system-on-chips using communication architecture tuners , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[33]  L. Schares,et al.  160-Gb/s, 16-Channel Full-Duplex, Single-Chip CMOS Optical Transceiver , 2007, OFC/NFOEC 2007 - 2007 Conference on Optical Fiber Communication and the National Fiber Optic Engineers Conference.

[34]  Jung Ho Ahn,et al.  A nanophotonic interconnect for high-performance many-core computation , 2008 .

[35]  R. Marculescu,et al.  Exploiting the routing flexibility for energy/performance aware mapping of regular NoC architectures , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[36]  E. Yablonovitch,et al.  Junction field-effect-transistor-based germanium photodetector on silicon-on-insulator. , 2008, Optics letters.

[37]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[38]  Hui Chen,et al.  Predictions of CMOS compatible on-chip optical interconnect , 2005, International Workshop on System-Level Interconnect Prediction.

[39]  Saurabh Dighe,et al.  An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[40]  Kees G. W. Goossens,et al.  Trade-offs in the Configuration of a Network on Chip for Multiple Use-Cases , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[41]  Shaahin Hessabi,et al.  Contention-free on-chip routing of optical packets , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[42]  Keren Bergman,et al.  Demonstration of All-Optical Multi-Wavelength Message Routing for Silicon Photonic Networks , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[43]  Frédéric Gaffiot,et al.  Power dissipation in optical and metallic clock distribution networks in new VLSI technologies , 2004 .

[44]  Srinivasan Murali,et al.  Bandwidth-constrained mapping of cores onto NoC architectures , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[45]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[46]  Luca Benini,et al.  Synthesis of low-overhead configurable source routing tables for network interfaces , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[47]  Chita R. Das,et al.  A hybrid SoC interconnect with dynamic TDMA-based transaction-less buses and on-chip networks , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

[48]  M.S. Obaidat,et al.  ZOMA: a preemptive deadlock recovery mechanism for fully adaptive routing in wormhole networks , 2001, Proceedings 2001 International Conference on Computer Networks and Mobile Computing.

[49]  Jörg Henkel,et al.  Configurable links for runtime adaptive on-chip communication , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[50]  Sudeep Pasricha,et al.  Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.

[51]  Benjamin G. Lee,et al.  All-Optical Comb Switch for Multiwavelength Message Routing in Silicon Photonic Networks , 2008, IEEE Photonics Technology Letters.

[52]  L. Schares,et al.  Terabus: Terabit/Second-Class Card-Level Optical Interconnect Technologies , 2006, IEEE Journal of Selected Topics in Quantum Electronics.

[53]  L. Sekaric,et al.  Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator. , 2007, Optics express.

[54]  Wei Zhang,et al.  A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[55]  Nikil D. Dutt,et al.  Dynamically reconfigurable on-chip communication architectures for multi use-case chip multiprocessor applications , 2009, 2009 Asia and South Pacific Design Automation Conference.

[56]  WentzlaffDavid,et al.  The Raw Microprocessor , 2002 .

[57]  Srinivasan Murali,et al.  A Methodology for Mapping Multiple Use-Cases onto Networks on Chips , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[58]  Manfred Glesner,et al.  Energy Conscious Simultaneous Voltage Scaling and On-chip Communication Bus Synthesis , 2006, 2006 IFIP International Conference on Very Large Scale Integration.

[59]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.