Fractal dimension of line width roughness and its effects on transistor performance

The effects of Line Width Roughness (LWR) on transistor performance are one of the hottest issues in semiconductor industry. However, in most related studies, LWR is considered as the fluctuations of gate lengths and not of resist lines. In this paper, we examine the direct effects of one of the spatial resist LWR parameters, the fractal dimension, on transistor off current deviations for various correlation lengths and gate widths. The aim is to exploit the fractality of LWR in order to link the gap between the LWR of long resist lines and the gate length roughness that affects transistor performance. The used methodology is based on the simulation of both resist lines and transistor operation. The results of the two step methodology are presented for both narrow and wide gates. For the first, it is found that for all correlation lengths, higher fractal dimension (smaller roughness exponent) of the resist line leads to off state currents closer to the nominal value. For wide gates, an interesting differentiation is found at the dependence of the standard deviation from the fractal dimension as correlation length decreases. For sufficiently low correlation length, the behavior is reversed and the low fractal dimension are more beneficial that the higher ones. An explanation of that reverse is provided by means of the dependence of the CD variation on gate width for various fractal dimensions. Finally, the implications of these findings on the dependencies of the yield of transistors on fractal dimension and correlation length are also discussed.

[1]  Atsuko Yamaguchi,et al.  Metrology of LER: influence of line-edge roughness (LER) on transistor performance , 2004, SPIE Advanced Lithography.

[2]  Harry J. Levinson,et al.  Line-edge roughness in 193-nm resists: lithographic aspects and etch transfer , 2007, SPIE Advanced Lithography.

[3]  J.C.S. Woo,et al.  TCAD-based statistical analysis and modeling of gate line-edge roughness effect on nanoscale MOS transistor performance and scaling , 2004, IEEE Transactions on Semiconductor Manufacturing.

[4]  Evangelos Gogolides,et al.  Correlation length and the problem of line width roughness , 2007, SPIE Advanced Lithography.

[5]  Kouichirou Tsujita,et al.  Influence of line-edge roughness on MOSFET devices with sub-50-nm gates , 2004, SPIE Advanced Lithography.

[6]  Abdelkarim Mercha,et al.  Impact of line width roughness on device performance , 2006, SPIE Advanced Lithography.

[7]  A. Asenov,et al.  Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness , 2003 .

[8]  George P. Patsis,et al.  Integrated simulation of line-edge roughness (LER) effects on sub-65nm transistor operation: From lithography simulation, to LER metrology, to device operation , 2006, SPIE Advanced Lithography.

[9]  E. Gogolides,et al.  Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions , 2004 .

[10]  Angeliki Tserepi,et al.  Quantification of line-edge roughness of photoresists. II. Scaling and fractal analysis and the best roughness descriptors , 2003 .

[11]  Angeliki Tserepi,et al.  Quantification of line-edge roughness of photoresists. I. A comparison between off-line and on-line analysis of top-down scanning electron microscopy images , 2003 .

[12]  Atsuko Yamaguchi,et al.  Analysis of Line-edge Roughness in Resist Patterns and Its Transferability as Origins of Device Performance Degradation and Variation , 2003 .

[13]  Hyun-Woo Kim,et al.  Experimental investigation of the impact of LWR on sub-100-nm device performance , 2004, IEEE Transactions on Electron Devices.

[14]  M. Ercken,et al.  Full spectral analysis of line width roughness , 2005, SPIE Advanced Lithography.

[15]  Atsuko Yamaguchi,et al.  Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance , 2003, SPIE Advanced Lithography.

[16]  C.H. Diaz,et al.  An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling , 2001, IEEE Electron Device Letters.

[17]  William Lawrence Spatial frequency analysis of line-edge roughness in nine chemically related photoresists , 2003, SPIE Advanced Lithography.

[18]  A. Barabasi,et al.  Fractal concepts in surface growth , 1995 .

[19]  C. Sparrow The Fractal Geometry of Nature , 1984 .

[20]  M. Ercken,et al.  Line edge roughness: experimental results related to a two-parameter model , 2004 .

[21]  R. Rooyackers,et al.  Experimental investigation of the impact of line-edge roughness on MOSFET performance and yield , 2003, ESSDERC '03. 33rd Conference on European Solid-State Device Research, 2003..

[22]  Evangelos Gogolides,et al.  Characterization and modeling of line width roughness (LWR) , 2005, SPIE Advanced Lithography.

[23]  M. Ieong,et al.  Modeling line edge roughness effects in sub 100 nanometer gate length devices , 2000, 2000 International Conference on Simulation Semiconductor Processes and Devices (Cat. No.00TH8502).