Thermal-aware task scheduling at the system software level

Power-related issues have become important considerations in current generation microprocessor design. One of these issues is that of elevated on-chip temperatures. This has an adverse effect on cooling cost and, if not addressed suitably, on chip reliability. In this paper we investigate the general trade-offs between temporal and spatial hot spot mitigation schemes and thermal time constants, workload variations and microprocessor power distributions. By leveraging spatial and temporal heat slacks, our schemes enable lowering of on-chip unit temperatures by changing the workload in a timely manner with Operating System(OS) and existing hardware support.

[1]  Yu Cao,et al.  New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[2]  Dean M. Tullsen,et al.  Symbiotic jobscheduling with priorities for a simultaneous multithreading processor , 2002, SIGMETRICS '02.

[3]  Mircea R. Stan,et al.  System level leakage reduction considering the interdependence of temperature and leakage , 2004, Proceedings. 41st Design Automation Conference, 2004..

[4]  Pawan Kapur,et al.  Power estimation in global interconnects and its reduction using a novel repeater optimization methodology , 2002, DAC '02.

[5]  Lian-Tuu Yeh,et al.  Thermal Management of Microelectronic Equipment , 2002 .

[6]  Dirk Grunwald,et al.  Thermal Management with Asymmetric Dual Core Designs , 2003 .

[7]  Sheng-Chih Lin,et al.  A self-consistent junction temperature estimation methodology for nanometer scale ICs with implications for performance and thermal management , 2003, IEEE International Electron Devices Meeting 2003.

[8]  J. Wakil,et al.  Spatially-resolved imaging of microprocessor power (SIMP): hotspots in microprocessors , 2006, Thermal and Thermomechanical Proceedings 10th Intersociety Conference on Phenomena in Electronics Systems, 2006. ITHERM 2006..

[9]  Glenn Reinman,et al.  Low-Overhead Core Swapping for Thermal Management , 2004, PACS.

[10]  Dean M. Tullsen,et al.  Symbiotic jobscheduling for a simultaneous mutlithreading processor , 2000, SIGP.

[11]  Yuan Taur,et al.  Fundamentals of Modern VLSI Devices , 1998 .

[12]  Balaram Sinharoy,et al.  Design and implementation of the POWER5 microprocessor , 2004, Proceedings. 41st Design Automation Conference, 2004..

[13]  Glenn Reinman,et al.  Reducing the latency and area cost of core swapping through shared helper engines , 2005, 2005 International Conference on Computer Design.

[14]  A. Chapman Fundamentals of heat transfer , 1987 .

[15]  Dirk Grunwald,et al.  Thermal Management with Asymmetric Dual Core Designs ; CU-CS-965-03 , 2003 .

[16]  Kaustav Banerjee,et al.  A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .

[17]  Kurt Keutzer,et al.  A global wiring paradigm for deep submicron design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[18]  Frank Bellosa,et al.  Balancing power consumption in multiprocessor systems , 2006, EuroSys.

[19]  E. Cohen,et al.  Hotspot-Limited Microprocessors: Direct Temperature and Power Distribution Measurements , 2007, IEEE Journal of Solid-State Circuits.

[20]  Frank Bellosa,et al.  Dynamic Thermal Management for Distributed Systems , 2002 .

[21]  T. N. Vijaykumar,et al.  Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.

[22]  Margaret Martonosi,et al.  Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

[23]  Jean Michel Daga,et al.  Temperature effect on delay for low voltage applications [CMOS ICs] , 1998, Proceedings Design, Automation and Test in Europe.

[24]  Frank Bellosa,et al.  Event-Driven Thermal Management in SMP Systems , 2005 .

[25]  José González,et al.  Distributing the frontend for temperature reduction , 2005, 11th International Symposium on High-Performance Computer Architecture.

[26]  A. R. Newton,et al.  Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .

[27]  Ramakrishna Kotla,et al.  Scheduling processor voltage and frequency in server and cluster systems , 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

[28]  Marios C. Papaefthymiou,et al.  Practical repeater insertion for low power: what repeater library do we need? , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[29]  A. Blanchard,et al.  A Linux-based tool for hardware bring up, Linux development, and manufacturing , 2005, IBM Syst. J..

[30]  Dean M. Tullsen,et al.  Simultaneous multithreading: Maximizing on-chip parallelism , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

[31]  M TullsenDean,et al.  Symbiotic jobscheduling for a simultaneous mutlithreading processor , 2000 .

[32]  Pradip Bose,et al.  Investigating the Effects of Task Scheduling on Thermal Behavior , 2006 .

[33]  H. B. Bakoglu,et al.  Circuits, interconnections, and packaging for VLSI , 1990 .

[34]  Changhae Park,et al.  Reversal of temperature dependence of integrated circuits operating at very low voltages , 1995, Proceedings of International Electron Devices Meeting.

[35]  Kevin Skadron,et al.  The need for a full-chip and package thermal model for thermally optimized IC designs , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[36]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[37]  T. N. Vijaykumar,et al.  Balancing resource utilization to mitigate power density in processor pipelines , 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

[38]  Sarita V. Adve,et al.  Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.

[39]  K. Banerjee,et al.  Supply and power optimization in leakage-dominant technologies , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[40]  Krste Asanovic,et al.  Reducing power density through activity migration , 2003, ISLPED '03.

[41]  Kaustav Banerjee,et al.  A probabilistic framework for power-optimal repeater insertion in global interconnects under parameter variations , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[42]  Sani R. Nassif,et al.  Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.

[43]  Stephen H. Gunther,et al.  Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .