Architecting a MOS current mode logic (MCML) processor for fast, low noise and energy-efficient computing in the near-threshold regime
暂无分享,去创建一个
[1] A. E. Barish,et al. Improved performance of IBM Enterprise System/9000 bipolar logic chips , 1992, IBM J. Res. Dev..
[2] Allan Hartstein,et al. Optimum Power/Performance Pipeline Depth , 2003, MICRO.
[3] 이강현,et al. 고속 통신을 위한 Floating Point Unit 설계 , 1999 .
[4] Michael Gschwind,et al. Optimizing pipelines for power and performance , 2002, MICRO.
[5] Holly E. Rushmeier,et al. A Scalable Parallel Algorithm for Self-Organizing Maps with Applications to Sparse Data Mining Problems , 1999, Data Mining and Knowledge Discovery.
[6] T. N. Vijaykumar,et al. Pipeline damping: a microarchitectural technique to reduce inductive noise in supply voltage , 2003, ISCA '03.
[7] Jan M. Rabaey,et al. MOS current mode logic for low power, low noise CORDIC computation in mixed-signal environments , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
[8] Stéphane Badel,et al. Power-gated MOS Current Mode Logic (PG-MCML): A power aware DPA-resistant standard cell library , 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
[9] James Kolodzey,et al. CRAY-1 Computer Technology , 1981 .
[10] David Blaauw,et al. Yield-Driven Near-Threshold SRAM Design , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Gu-Yeon Wei,et al. Characterizing and evaluating voltage noise in multi-core near-threshold processors , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[12] David D. Ling,et al. Power Supply Noise Analysis Methodology For Deep-submicron Vlsi Chip Design , 1997, Proceedings of the 34th Design Automation Conference.
[13] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[14] Gu-Yeon Wei,et al. Process Variation Tolerant 3T1D-Based Cache Architectures , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[15] Edward B. Eichelberger,et al. Differential current switch-High performance at low power , 1991, IBM J. Res. Dev..
[16] Brooks David,et al. Voltage Noise: Why It’s Bad, and What To Do About It , 2009 .
[17] Stéphane Badel,et al. A Generic Standard Cell Design Methodology for Differential Circuit Styles , 2008, 2008 Design, Automation and Test in Europe.
[18] David Blaauw,et al. Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.
[19] Emre Salman,et al. High Performance Integrated Circuit Design , 2012 .
[20] Masayuki Mizuno,et al. A GHz MOS adaptive pipeline technique using MOS current-mode logic , 1996, IEEE J. Solid State Circuits.
[21] Mohamed I. Elmasry,et al. MOS current mode circuits: analysis, design, and variability , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[22] Stéphane Badel. MOS current-mode logic standard cells for high-speed low-noise applications , 2008 .
[23] L. Dagum,et al. OpenMP: an industry standard API for shared-memory programming , 1998 .
[24] Wei Huang,et al. Some Limits of Power Delivery in the Multicore Era , 2012 .
[25] Eby G. Friedman,et al. Power Noise in TSV-Based 3-D Integrated Circuits , 2013, IEEE Journal of Solid-State Circuits.
[26] J. Torrellas,et al. VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects , 2008, IEEE Transactions on Semiconductor Manufacturing.
[27] Jean-Pierre Deschamps,et al. Floating‐Point Unit , 2006 .
[28] Yu Cao,et al. New generation of predictive technology model for sub-45nm design exploration , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[29] Karim Abdelhalim,et al. Adaptable MOS current mode logic for use in a multi-band RF prescaler , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[30] Christoforos E. Kozyrakis,et al. Phoenix rebirth: Scalable MapReduce on a large-scale shared-memory system , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).
[31] Tetsuo Endoh,et al. 0.18- μm CMOS 10-Gb/s multiplexer/demultiplexer ICs using current mode logic with tolerance to threshold voltage fluctuation , 2001, IEEE J. Solid State Circuits.
[32] Michael D. Smith,et al. Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[33] K.L. Shepard,et al. Uniform-phase uniform-amplitude resonant-load global clock distributions , 2005, IEEE Journal of Solid-State Circuits.
[34] David H. Bailey,et al. The Nas Parallel Benchmarks , 1991, Int. J. High Perform. Comput. Appl..
[35] John Wawrzynek,et al. Post-placement C-slow retiming for the xilinx virtex FPGA , 2003, FPGA '03.
[36] Marcel J. M. Pelgrom,et al. Matching properties of MOS transistors , 1989 .
[37] Norman P. Jouppi,et al. Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0 , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[38] Gaetano Palumbo,et al. Feature - Power-aware design techniques for nanometer MOS current-mode logic gates: a design framework , 2006, IEEE Circuits and Systems Magazine.