Task assignment with energy efficiency considerations for non-DVS heterogeneous multiprocessor systems

Currently, the multiprocessor architecture platform becomes more and more popular to the applications with higher computational capacity for meeting the fast-grown demand of applications and increasing the performance of whole system. Managing the consumption of resources has become an important issue in many different settings. The energyefficient scheduling for multiprocessors becomes one of important research issues. In this paper we study the scheduling problem of a heterogeneous non-DVSmultiprocessor platform with a task set. The processors have different characteristics of power consumption. We propose an off-line taskto- processor assignment algorithm, the Best-Fit Decreasing Physical Power Consumption (BDPC) algorithm to derive a feasible task assignment with the minimal energy consumption and has the time complexity of O(N(logN + M)), where N and M are the numbers of tasks and processor types, respectively. A series of experiments were conducted to evaluate the proposed algorithm. The experimental results demonstrate that the performance of the proposed BDPC algorithm is better than the compared algorithms.

[1]  Tei-Wei Kuo,et al.  Leakage-Aware Energy-Efficient Scheduling of Real-Time Tasks in Multiprocessor Systems , 2006, 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS'06).

[2]  Chin-Fu Kuo,et al.  Energy-Efficient Scheduling for Real-Time Systems on Dynamic Voltage Scaling (DVS) Platforms , 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).

[3]  Jun Wu,et al.  A path generation scheme for real-time green internet of things , 2014, SIAP.

[4]  Dakai Zhu,et al.  System-Level Energy Management for Periodic Real-Time Tasks , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).

[5]  Zhiyuan Li,et al.  Energy-Aware Scheduling for Real-Time Multiprocessor Systems with Uncertain Task Execution Time , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[6]  Ragunathan Rajkumar,et al.  Energy-Aware Partitioned Fixed-Priority Scheduling for Chip Multi-processors , 2011, 2011 IEEE 17th International Conference on Embedded and Real-Time Computing Systems and Applications.

[7]  Tei-Wei Kuo,et al.  An approximation algorithm for energy-efficient scheduling on a chip multiprocessor , 2005, Design, Automation and Test in Europe.

[8]  Jun Wu,et al.  Lightweight Distributed Topology Control Algorithms for Heterogeneous Wireless Sensor Networks , 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).

[9]  Jan Weglarz,et al.  Solving a power-aware scheduling problem by grouping jobs with the same processing characteristic , 2015, Discret. Appl. Math..

[10]  Tei-Wei Kuo,et al.  A Secure Routing Protocol for Wireless Embedded Networks , 2008, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[11]  Stefan M. Petters,et al.  Energy-aware partitioning of tasks onto a heterogeneous multi-core platform , 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

[12]  Yi Zhong,et al.  State-of-the-art research study for green cloud computing , 2011, The Journal of Supercomputing.

[13]  Rodrigo A. Carrasco,et al.  Resource Cost Aware Scheduling Problems , 2013 .

[14]  Chin-Fu Kuo,et al.  A novel key management scheme for wireless embedded systems , 2012, SIAP.

[15]  Tei-Wei Kuo,et al.  User-centric energy-efficient scheduling on multi-core mobile devices , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[16]  Tei-Wei Kuo,et al.  Two-Version Based Concurrency Control and Recovery in Real-Time Client/Server Databases , 2003, IEEE Trans. Computers.

[17]  Steve Goddard,et al.  Online energy-aware I/O device scheduling for hard real-time systems , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[18]  Chin-Fu Kuo,et al.  An Adaptive Contention Control Strategy for IEEE 802.15.4-Based Wireless Sensor Networks , 2009, IEEE Transactions on Vehicular Technology.

[19]  Alan Burns,et al.  A survey of hard real-time scheduling for multiprocessor systems , 2011, CSUR.

[20]  Kenji Funaoka,et al.  Energy-Efficient Optimal Real-Time Scheduling on Multiprocessors , 2008, 2008 11th IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC).

[21]  Jun Wu,et al.  Energy-Efficient Real-Time Scheduling of Tasks With Abortable Critical Sections , 2014, J. Inf. Sci. Eng..

[22]  Gabriel A. Moreno,et al.  An Optimal Real-Time Voltage and Frequency Scaling for Uniform Multiprocessors , 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

[23]  Xiliang Zhong,et al.  Energy-Aware Modeling and Scheduling for Dynamic Voltage Scaling with Statistical Real-Time Guarantee , 2007, IEEE Transactions on Computers.

[24]  Gang Qu,et al.  What is the limit of energy saving by dynamic voltage scaling? , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[25]  Flavius Gruian,et al.  System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors , 2000, PACS.

[26]  Rajkumar Buyya,et al.  Power-aware provisioning of Cloud resources for real-time services , 2009, MGC '09.

[27]  Young-Kuk Kim,et al.  A Power-Aware Scheduler Exploiting All Slacks under EDF Scheduling , 2014 .

[28]  James W. Layland,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[29]  Chin-Fu Kuo,et al.  Scheduling algorithm with energy-response trade-off considerations for mixed task sets , 2013, RACS.

[30]  Tei-Wei Kuo,et al.  Energy-Efficient Real-Time Task Scheduling for a DVS System with a Non-DVS Processing Element , 2006, 2006 27th IEEE International Real-Time Systems Symposium (RTSS'06).

[31]  Qi Yang,et al.  Energy-aware partitioning for multiprocessor real-time systems , 2003, Proceedings International Parallel and Distributed Processing Symposium.

[32]  José Duato,et al.  Power‐aware scheduling with effective task migration for real‐time multicore embedded systems , 2013, Concurr. Comput. Pract. Exp..

[33]  Halim Fathoni,et al.  DEPARTMENT OF COMPUTER SCIENCE AND INFORMATION ENGINEERING , 2008 .

[34]  Carla Schlatter Ellis,et al.  The case for higher-level power management , 1999, Proceedings of the Seventh Workshop on Hot Topics in Operating Systems.

[35]  Ragunathan Rajkumar,et al.  Energy-efficient allocation of real-time applications onto Heterogeneous Processors , 2014, 2014 IEEE 20th International Conference on Embedded and Real-Time Computing Systems and Applications.