Nanoelectromechanical (NEM) relays integrated with CMOS SRAM for improved stability and low leakage

We present a hybrid nanoelectromechanical (NEM)/CMOS static random access memory (SRAM) cell, in which the two pull-down transistors of a conventional CMOS six transistor (6T) SRAM cell are replaced with NEM relays. This SRAM cell utilizes the infinite subthreshold slope and hysteretic properties of NEM relays to dramatically increase the cell stability compared to the conventional CMOS 6T SRAM cells. It also utilizes the zero off-state leakage of NEM relays to significantly decrease static power dissipation. The structure is designed so that the relatively long mechanical delay of the NEM relays does not result in performance degradation. Circuit simulations are performed using a VerilogA model of a NEM relay. Compared to a 65nm CMOS 6T SRAM cell, when 10nm-gap NEM relays (pull-in voltage = 0.8V, pull-out voltage = 0.2V, on resistance = 1ki2) are integrated, hold and read static noise margin (SNM) improve by ~110% and ~250%, respectively. In addition, static power dissipation decreases by ~85%. The write delay decreases by ~60%, while read delay decreases by ~10%. The advantages in SNM and static power dissipation are expected to increase with scaling.

[1]  R. Howe,et al.  Critical Review: Adhesion in surface micromechanical structures , 1997 .

[2]  Anna W. Topol,et al.  Stable SRAM cell design for the 32 nm node and beyond , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[3]  P. Sharma Mechanics of materials. , 2010, Technology and health care : official journal of the European Society for Engineering and Medicine.

[4]  Ching-Te Chuang,et al.  High-performance SRAM in nanoscale CMOS: Design challenges and techniques , 2007, 2007 IEEE International Workshop on Memory Technology, Design and Testing.

[5]  K. Banerjee,et al.  Scaling and variability analysis of CNT-based NEMS devices and circuits with implications for process design , 2008, 2008 IEEE International Electron Devices Meeting.

[6]  Kaustav Banerjee,et al.  Design and Analysis of Hybrid NEMS-CMOS Circuits for Ultra Low-Power Applications , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[7]  Kaushik Roy,et al.  Process variation tolerant SRAM array for ultra low voltage applications , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[8]  Jun‐Bo Yoon,et al.  3-terminal nanoelectromechanical switching device in insulating liquid media for low voltage operation and reliability improvement , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[9]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[10]  K. Takeda,et al.  A read-static-noise-margin-free SRAM cell for low-V/sub dd/ and high-speed applications , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

[11]  Kaushik Roy,et al.  Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation , 2003, ISLPED '03.

[12]  P. E. Kladitis,et al.  Contact Force Models, including Electric Contact Deformation, for Electrostatically Actuated, Cantilever-Style, RF MEMS Switches , 2004 .

[13]  Magdy A. Bayoumi,et al.  Novel 7T sram cell for low power cache design , 2005, Proceedings 2005 IEEE International SOC Conference.

[14]  Vladimir Stojanovic,et al.  Integrated circuit design with NEM relays , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[15]  A. Chandrakasan,et al.  A 256kb Sub-threshold SRAM in 65nm CMOS , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[16]  Chenming Hu,et al.  Direct tunneling gate leakage current in transistors with ultrathin silicon nitride gate dielectric , 2000, IEEE Electron Device Letters.

[17]  K. Roy,et al.  A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM , 2007, IEEE Journal of Solid-State Circuits.

[18]  Masahiro Nomura,et al.  A read-static-noise-margin-free SRAM cell for low-VDD and high-speed applications , 2006, IEEE Journal of Solid-State Circuits.

[19]  R. Howe,et al.  Design Considerations for Complementary Nanoelectromechanical Logic Gates , 2007, 2007 IEEE International Electron Devices Meeting.

[20]  Shirley Dex,et al.  JR 旅客販売総合システム(マルス)における運用及び管理について , 1991 .

[21]  P. Zavracky,et al.  Measurement and modelling of surface micromachined, electrostatically actuated microswitches , 1997, Proceedings of International Solid State Sensors and Actuators Conference (Transducers '97).

[22]  Leland Chang,et al.  A 5.3GHz 8T-SRAM with Operation Down to 0.41V in 65nm CMOS , 2007, 2007 IEEE Symposium on VLSI Circuits.

[23]  Ching-Te Chuang,et al.  Asymmetrical SRAM Cells with Enhanced Read and Write Margins , 2007, 2007 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).

[24]  S. Takahashi,et al.  A 45nm High Performance Bulk Logic Platform Technology (CMOS6) using Ultra High NA(1.07) Immersion Lithography with Hybrid Dual-Damascene Structure and Porous Low-k BEOL , 2006, 2006 International Electron Devices Meeting.