Scheduling and Binding Algorithms for High-Level Synthesis

New algorithms for high-level synthesis are presented. The first performs scheduling under hardware resource constraints and improves on commonly used list scheduling techniques by making use of a global priority function. A new design-space exploration technique, which combines this algorithm with an existing one based on time constraints, is also presented. A second algorithm is used for register and bus allocation to satisfy two criteria: the minimization of interconnect costs as well as the final register (bus) cost. A clique partitioning approach is used where the clique graph is pruned using interconnect affinities between register (bus) pairs. Examples from current literature were chosen to illustrate the algorithms and to compare them with four existing systems.

[1]  Pierre G. Paulin,et al.  Force-directed scheduling for the behavioral synthesis of ASICs , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[2]  Daniel P. Siewiorek,et al.  Automated Synthesis of Data Paths in Digital Systems , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  P. Pfahler Automated datapath synthesis: A compilation approach , 1987 .

[4]  Gabriele Saucier,et al.  ASYL: A Rule-Based System for Controller Synthesis , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  L. J. Bock,et al.  Paper 2. , 1973, The Australian journal of physiotherapy.

[6]  Alice C. Parker,et al.  Tutorial on high-level synthesis , 1988, DAC '88.

[7]  Barry M. Pangrle Splicer: a heuristic approach to connectivity binding , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[8]  Pierre Gaston Paulin High-level synthesis of digital circuits using global scheduling and binding algorithms , 1988 .

[9]  Daniel Gajski,et al.  Knowledge Based Control in Micro-Architecture Design , 1987, 24th ACM/IEEE Design Automation Conference.

[10]  Pierre G. Paulin,et al.  Force-Directed Scheduling in Automatic Data Path Synthesis , 1987, 24th ACM/IEEE Design Automation Conference.

[11]  Bruce D. Shriver,et al.  Some Experiments in Local Microcode Compaction for Horizontal Machines , 1981, IEEE Transactions on Computers.

[12]  Mohamed I. Elmasry,et al.  VLSI design synthesis with testability , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[13]  E. F. Girczyc,et al.  HAL: A Multi-Paradigm Approach to Automatic Data Path Synthesis , 1986, 23rd ACM/IEEE Design Automation Conference.

[14]  N. S. Barnett,et al.  Private communication , 1969 .

[15]  Carlos Delgado Kloos Semantics of Digital Circuits , 1987, Lecture Notes in Computer Science.

[16]  P. Six,et al.  Cathedral-II: A Silicon Compiler for Digital Signal Processing , 1986, IEEE Design & Test of Computers.

[17]  Fadi J. Kurdahi,et al.  REAL: A Program for REgister ALlocation , 1987, 24th ACM/IEEE Design Automation Conference.

[18]  Donald E. Thomas,et al.  The system architect's workbench , 1988, DAC '88.