Modular placement for interposer based multi-FPGA systems

Novel device with multiple FPGAs on-chip based on interposer interconnection has emerged to resolve the IOs limit and improve the inter-FPGA communication delay. However, new challenges arise for the placement on such architecture. Firstly, existing work does not consider the detailed models for the path wirelength and delay estimation for interposer, which may significantly affect the placement quality. Secondly, previous work is mostly based on traditional tile-based placement which is slow for the placement of large design on multiple FPGAs. In this paper, we propose a new fast two-stage modular placement flow for interposer based multiple FPGAs aiming for delay optimization with the incorporation of a detailed interposer routing model for wirelength and delay estimation. Firstly, we adopt the force-directed method for its global property to get an efficient solution as a start point of the placement. Secondly, we adopt the simulated annealing (SA) for its efficiency and effectiveness in searching the refinement solution. In order to speed up the refinement, the hierarchical B*-tree (HB*-tree) is employed to enable a fast search and convergence. The experiments demonstrate that our flow can achieve an efficient solution in a comparable time. The proposed approach is scalable to different design size.

[1]  Ernest S. Kuh,et al.  Performance-driven system partitioning on multi-chip modules , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[2]  David Dye Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite , 2010 .

[3]  Carl Sechen,et al.  Multiple FPGA Partitioning with Performance Optimization , 1995, Third International ACM Symposium on Field-Programmable Gate Arrays.

[4]  H. Murata,et al.  Rectangle-packing-based module placement , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[5]  Ting-Chi Wang,et al.  An optimal algorithm for floorplan area optimization , 1990, 27th ACM/IEEE Design Automation Conference.

[6]  Scott Hauck,et al.  Enhancing timing-driven FPGA placement for pipelined netlists , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[7]  Yao-Wen Chang,et al.  Modern floorplanning based on B/sup */-tree and fast simulated annealing , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[8]  Susmita Sur-Kolay,et al.  Floorplanning for Partially Reconfigurable FPGAs , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Wilm E. Donath Complexity Theory and Design Automation , 1980, 17th Design Automation Conference.

[10]  M Xu,et al.  Near-linear wirelength estimation for FPGA placement , 2009, Canadian Journal of Electrical and Computer Engineering.

[11]  Li Shang,et al.  3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[12]  Jason Cong,et al.  An analytical placer for mixed-size 3D placement , 2010, ISPD '10.

[13]  Kenneth B. Kent,et al.  The VTR project: architecture and CAD for FPGAs from verilog to routing , 2012, FPGA '12.

[14]  Ting-Chi Wang,et al.  Floorplanning and signal assignment for silicon interposer-based 3D ICs , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[15]  Piet Hut,et al.  A hierarchical O(N log N) force-calculation algorithm , 1986, Nature.

[16]  Marcel Gort,et al.  Design re-use for compile time reduction in FPGA high-level synthesis flows , 2014, 2014 International Conference on Field-Programmable Technology (FPT).

[17]  Jaeseok Kim,et al.  An Efficient Method of Partitioning Circuits for Multiple-FPGA Implementation. , 1993, 30th ACM/IEEE Design Automation Conference.

[18]  Robert K. Brayton,et al.  A force-directed macro-cell placer , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[19]  Azadeh Davoodi,et al.  Automatic die placement and flexible I/O assignment in 2.5D IC design , 2015, Sixteenth International Symposium on Quality Electronic Design.

[20]  Yao-Wen Chang,et al.  Multiple chip planning for chip-interposer codesign , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[21]  K. Raahemifar,et al.  FPGA placement optimization methodology survey , 2008, 2008 Canadian Conference on Electrical and Computer Engineering.

[22]  Dimitrios Soudris,et al.  A Tabu-Based Partitioning and Layer Assignment Algorithm for 3-D FPGAs , 2011, IEEE Embedded Systems Letters.

[23]  G. Grewal,et al.  Hierarchical FPGA placement , 2007, Canadian Journal of Electrical and Computer Engineering.

[24]  Cristinel Ababei TPR: Three-D Place and Route for FPGAs , 2004, FPL.

[25]  Yao-Wen Chang,et al.  Modern floorplanning based on fast simulated annealing , 2005, ISPD '05.

[26]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.

[27]  Yu Wang,et al.  RALP: Reconvergence-aware layer partitioning for 3D FPGAs , 2013, 2013 International Conference on Reconfigurable Computing and FPGAs (ReConFig).

[28]  Hyunchul Shin,et al.  Performance-driven circuit partitioning for prototyping by using multiple FPGA chips , 1995, Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair.

[29]  Vaughn Betz,et al.  Cad and routing architecture for interposer-based multi-FPGA systems , 2014, FPGA.