F-FM: Fixed-Outline Floorplanning Methodology for Mixed-Size Modules Considering Voltage-Island Constraint

This paper presents a two-stage approach to handle fixed-outline floorplanning for mixed size modules, named F-FM. F-FM combines the advantages of the analytical approach and the slicing tree representation. Thus, it is not only suitable for handling fixed-outline floorplanning but also can be extended to handle other important issues in floorplanning such as routability or thermal effect in addition to wirelength. Recently, low power has become big challenges in very large-scale integration designs, which makes voltage-island driven floorplanning more important than ever. Although the problem has been discussed by previous works, no paper considers signal wirelength, powerplanning, and voltage drop at the same time under the fixed-outline constraint. Thus, this paper extends F-FM to handle this problem and consider these issues by properly dividing modules in a voltage domain into several islands. The experimental results show our approach obtains the best results in these problems.

[1]  Satoshi Goto,et al.  A novel fixed-outline floorplanner with zero deadspace for hierarchical design , 2008, ICCAD 2008.

[2]  Evangeline F. Y. Young,et al.  Multivoltage Floorplan Design , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[3]  Yao-Wen Chang,et al.  NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  I-Min Liu,et al.  Post-placement voltage island generation under performance requirement , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[5]  Dongjin Lee,et al.  SimPL: An Effective Placement Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Andrew B. Kahng,et al.  Implementation and extensibility of an analytic placer , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Evangeline F. Y. Young,et al.  Post-Placement Voltage Island Generation , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[8]  Evangeline F. Y. Young,et al.  Postplacement Voltage Island Generation , 2012 .

[9]  Ralph H. J. M. Otten,et al.  Automatic Floorplan Design , 1982, 19th Design Automation Conference.

[10]  Narayanan Vijaykrishnan,et al.  Temperature-aware voltage islands architecting in system-on-chip design , 2005, 2005 International Conference on Computer Design.

[11]  Yao-Wen Chang,et al.  IMF: interconnect-driven multilevel floorplanning for large-scale building-module designs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[12]  Hai Zhou,et al.  ACG-adjacent constraint graph for general floorplans , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

[13]  Takeshi Yoshimura,et al.  An O-tree representation of non-slicing floorplan and its applications , 1999, DAC '99.

[14]  Yao-Wen Chang,et al.  An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[15]  Chris C. N. Chu,et al.  DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[16]  Jai-Ming Lin,et al.  SKB-Tree: A Fixed-Outline Driven Representation for Modern Floorplanning Problems , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[17]  Yan Feng,et al.  A fixed-die floorplanning algorithm using an analytical approach , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[18]  Andrew B. Kahng,et al.  Classical floorplanning harmful? , 2000, ISPD '00.

[19]  Chaomin Luo,et al.  Large-scale fixed-outline floorplanning design using convex optimization techniques , 2008, 2008 Asia and South Pacific Design Automation Conference.

[20]  Yao-Wen Chang,et al.  An ILP algorithm for post-floorplanning voltage-island generation considering power-network planning , 2007, ICCAD 2007.

[21]  Martin D. F. Wong,et al.  A New Algorithm for Floorplan Design , 1986, 23rd ACM/IEEE Design Automation Conference.

[22]  Yao-Wen Chang,et al.  B*-Trees: a new representation for non-slicing floorplans , 2000, DAC.

[23]  Yoji Kajitani,et al.  Rectangle-packing-based module placement , 1995, ICCAD.

[24]  Ieee Circuits,et al.  IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems information for authors , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Igor L. Markov,et al.  Fixed-outline floorplanning: enabling hierarchical design , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[26]  Yao-Wen Chang,et al.  TCG: a transitive closure graph-based representation for non-slicing floorplans , 2001, DAC '01.

[27]  J. Cong,et al.  Fast floorplanning by look-ahead enabled recursive bipartitioning , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Shashi Shekhar,et al.  Multilevel hypergraph partitioning: applications in VLSI domain , 1999, IEEE Trans. Very Large Scale Integr. Syst..

[29]  Igor L. Markov,et al.  Fixed-outline floorplanning through better local search , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[30]  Yoji Kajitani,et al.  Module placement on BSG-structure and IC layout applications , 1996, Proceedings of International Conference on Computer Aided Design.

[31]  Radu Marculescu,et al.  Architecting voltage islands in core-based system-on-a-chip designs , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[32]  Satoshi Goto,et al.  A novel fixed-outline floorplanner with zero deadspace for hierarchical design , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[33]  I-Min Liu,et al.  Timing-constrained and voltage-island-aware voltage assignment , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[34]  Wai-Kei Mak,et al.  Voltage Island Generation under Performance Requirement for SoC Designs , 2007, 2007 Asia and South Pacific Design Automation Conference.

[35]  Evangeline F. Y. Young,et al.  Multi-voltage floorplan design with optimal voltage assignment , 2009, ISPD '09.

[36]  Jia-Ming Lin,et al.  A flexible fixed-outline floorplanning methodology for mixed-size modules , 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

[37]  Resve A. Saleh,et al.  Application-driven floorplan-aware voltage island design , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[38]  Hung-Yi Liu,et al.  Voltage Island Aware Floorplanning for Power and Timing Optimization , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[39]  Yici Cai,et al.  Corner block list: an effective and efficient topological representation of non-slicing floorplan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).