Quality and reliability impact of defect data analysis

In the last decade we have seen a shift towards a broader application of information on IC manufacturing defects. Here an overview is given of the methods used to gather data on the defects with a focus on local defects in the interconnection layers. Next this information is applied to determine a model describing the geometrical aspects of such defects. This model is used to arrive at a definition of hard faults and soft faults and to derive a relationship between the relative number of occurrence for either fault. Because the electrical impact of some of the soft faults will be closely related to the behavior of small open circuits or gate-oxide shorts, this relationship is an indication for the extent of the quality and reliability problems. >

[1]  Rosa Rodríguez-Montañés,et al.  Bridging defects resistance measurements in a CMOS process , 1992, Proceedings International Test Conference 1992.

[2]  A. Trip,et al.  MOS-IC Process And Device Characterization Within Philips , 1988, Proceedings of the IEEE International Conference on Microelectronic Test Structures.

[3]  A. Ferris-Prabhu Role of defect size distribution in yield modeling , 1985, IEEE Transactions on Electron Devices.

[4]  R. Glang Defect size distribution in VLSI chips , 1991 .

[5]  Charles Fredrick King,et al.  Electrical Defect Monitoring For Process Control , 1989, Advanced Lithography.

[6]  Andrzej J. Strojwas,et al.  VLSI Yield Prediction and Estimation: A Unified Framework , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[7]  Christopher W. Teutsch,et al.  Combining Electrical Defect Monitors with Automatic Visual Inspection Systems , 1989, Advanced Lithography.

[8]  Jacob A. Abraham,et al.  A Multivalued Algebra For Modeling Physical Failures in MOS VLSI Circuits , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  E.M.J.G. Bruls Reliability aspects of defect analysis , 1993, Proceedings ETC 93 Third European Test Conference.

[10]  John Paul Shen,et al.  Inductive Fault Analysis of MOS Integrated Circuits , 1985, IEEE Design & Test of Computers.

[11]  Wojciech Maly,et al.  CMOS bridging fault detection , 1990, Proceedings. International Test Conference 1990.

[12]  Charles H. Stapper,et al.  Modeling of Defects in Integrated Circuit Photolithographic Patterns , 1984, IBM J. Res. Dev..

[13]  J. A. Cunningham The use and evaluation of yield models in integrated circuit manufacturing , 1990 .

[14]  Wojciech Maly,et al.  Failure analysis of high-density CMOS SRAMs: using realistic defect modeling and I/sub DDQ/ testing , 1993, IEEE Design & Test of Computers.

[15]  T.E. Mangir,et al.  Sources of failures and yield improvement for VLSI and restructurable interconnects for RVLSI and WSI: Part I—Sources of failures and yield improvement for VLSI , 1984, Proceedings of the IEEE.

[16]  Frans P. M. Beenker,et al.  Fault modeling and test algorithm development for static random access memories , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

[17]  Wojciech Maly,et al.  FAULT MODELING FOR THE TESTING OF MIXED INTEGRATED CIRCUITS , 1991, 1991, Proceedings. International Test Conference.

[18]  A. V. Ferris-Prabhu,et al.  Modeling the critical area in yield forecasts , 1985 .

[19]  Keith Baker,et al.  Parameter monitoring: Advantages and pitfalls , 1993, Proceedings of IEEE International Test Conference - (ITC).

[20]  C.H. Stapper,et al.  Integrated circuit yield statistics , 1983, Proceedings of the IEEE.

[21]  John Paul Shen,et al.  A CMOS fault extractor for inductive fault analysis , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[22]  Charles F. Hawkins,et al.  THE BEHAVIOR AND TESTING IMPLICATIONS OF CMOS IC LOGIC GATE OPEN CIRCUITS , 1991, 1991, Proceedings. International Test Conference.

[23]  Edward J. McCluskey,et al.  "RESISTIVE SHORTS" WITHIN CMOS GATES , 1991, 1991, Proceedings. International Test Conference.

[24]  C. Kooperberg,et al.  Circuit layout and yield , 1988 .

[25]  Charles H. Stapper,et al.  Large-Area Fault Clusters and Fault Tolerance in VLSI Circuits: A Review , 1989, IBM J. Res. Dev..

[26]  Wojciech Maly,et al.  Process monitoring oriented IC testing , 1989, Proceedings. 'Meeting the Tests of Time'., International Test Conference.

[27]  Jochen A. G. Jess,et al.  A GENERIC METHOD TO DEVELOP A DEFECT MONITORING SYSTEM FOR IC PROCESSES , 1991, 1991, Proceedings. International Test Conference.

[28]  Jochen A. G. Jess,et al.  On the design and implementation of a wafer yield editor , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[29]  Manoj Sachdev Catastrophic defects oriented testability analysis of a class AB amplifier , 1993, Proceedings of 1993 IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems.