Power Efficiency for Variation-Tolerant Multicore Processors
暂无分享,去创建一个
[1] Sujit Dey,et al. Considering Process Variations During System-Level Power Analysis , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[2] J. Tschanz,et al. Effectiveness of adaptive supply voltage and body bias for reducing impact of parameter variations in low power and high performance microprocessors , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).
[3] John L. Gustafson,et al. Reevaluating Amdahl's law , 1988, CACM.
[4] Kevin Skadron,et al. Temperature-aware microarchitecture , 2003, ISCA '03.
[5] Kevin Skadron,et al. Performance, energy, and thermal considerations for SMT and CMP architectures , 2005, 11th International Symposium on High-Performance Computer Architecture.
[6] Ke Meng,et al. Process Variation Aware Cache Leakage Management , 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
[7] Mayan Moudgill,et al. Environment for PowerPC microarchitecture exploration , 1999, IEEE Micro.
[8] Dean M. Tullsen,et al. Symbiotic jobscheduling for a simultaneous mutlithreading processor , 2000, SIGP.
[9] Brad Calder,et al. Automatically characterizing large scale program behavior , 2002, ASPLOS X.
[10] Yuan Shi. Reevaluating Amdahl's Law and Gustafson's Law , 1996 .
[11] Jian Li,et al. Power-Performance Implications of Thread-level Parallelism on Chip Multiprocessors , 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..
[12] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[13] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[14] Jian Li,et al. Dynamic power-performance adaptation of parallel computation on chip multiprocessors , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[15] KumarRakesh,et al. Processor Power Reduction Via Single-ISA Heterogeneous Multi-Core Architectures , 2003 .
[16] T. N. Vijaykumar,et al. Heat-and-run: leveraging SMT and CMP to manage power density through the operating system , 2004, ASPLOS XI.
[17] Kevin Skadron,et al. Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..
[18] Janak H. Patel,et al. A low-overhead coherence solution for multiprocessors with private cache memories , 1998, ISCA '98.
[19] Margaret Martonosi,et al. Temperature-Aware Design Issues for SMT and CMP Architectures , 2004 .
[20] Stefanos Kaxiras,et al. Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads , 2001, CASES '01.
[21] Per Stenström,et al. Performance and power impact of issue-width in chip-multiprocessor cores , 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..
[22] James Laudon,et al. Performance/Watt: the new server focus , 2005, CARN.
[23] Mahmut T. Kandemir,et al. An integer linear programming based approach for parallelizing applications in On-chip multiprocessors , 2002, DAC '02.
[24] Manish Gupta,et al. Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors , 2000, IEEE Micro.
[25] John L. Henning. SPEC CPU2000: Measuring CPU Performance in the New Millennium , 2000, Computer.
[26] James Tschanz,et al. Parameter variations and impact on circuits and microarchitecture , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[27] John Paul Shen,et al. Mitigating Amdahl's law through EPI throttling , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[28] Kevin Skadron,et al. CMP design space exploration subject to physical constraints , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[29] Kaushik Roy,et al. A process-tolerant cache architecture for improved yield in nanoscale technologies , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.