Design and Evaluation of A Low-Voltage, Process-Variation-Tolerant SRAM Cache in 90nm CMOS Technology
暂无分享,去创建一个
[1] David Blaauw,et al. A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[2] C. Alberini,et al. Memory , 2006, Cellular and Molecular Life Sciences CMLS.
[3] Anantha Chandrakasan,et al. Optimal supply and threshold scaling for subthreshold CMOS circuits , 2002, Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
[4] Kaushik Roy,et al. Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring , 2005, IEEE International Conference on Test, 2005..
[5] Naveen Verma,et al. A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[6] Kurt Keutzer,et al. Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[7] J. Meindl,et al. The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.
[8] Andrew R. Brown,et al. Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs , 2003 .
[9] Vivek De,et al. Intrinsic MOSFET parameter fluctuations due to random dopant placement , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[10] B. Cheng,et al. The scalability of 8T-SRAM cells under the influence of intrinsic parameter fluctuations , 2007, ESSDERC 2007 - 37th European Solid State Device Research Conference.
[11] N. Vallepalli,et al. SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction , 2005, IEEE Journal of Solid-State Circuits.
[12] Timothy A. Brunner,et al. Why optical lithography will live forever , 2003 .
[13] Sani R. Nassif,et al. Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.
[14] Yiorgos Tsiatouhas,et al. New memory sense amplifier designs in CMOS technology , 2000, ICECS 2000. 7th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.00EX445).
[15] R. Keyes. The effect of randomness in the distribution of impurity atoms on FET thresholds , 1975 .
[16] Sani R. Nassif,et al. A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance , 2000, Proceedings 37th Design Automation Conference.
[17] D. Frank,et al. Simulation of stochastic doping effects in Si MOSFETs , 2000, 7th International Workshop on Computational Electronics. Book of Abstracts. IWCE (Cat. No.00EX427).
[18] Dirk Grunwald,et al. Pipeline gating: speculation control for energy reduction , 1998, ISCA.
[19] D. Burnett,et al. Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits , 1994, Proceedings of 1994 VLSI Technology Symposium.
[20] John Keane,et al. Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[21] Chenming Hu,et al. Reverse short-channel effects and channel-engineering in deep-submicron MOSFETs: modeling and optimization , 1996, 1996 Symposium on VLSI Technology. Digest of Technical Papers.
[22] J. Lohstroh,et al. Worst-case static noise margin criteria for logic circuits and their mathematical equivalence , 1983, IEEE Journal of Solid-State Circuits.
[23] Jason Liu,et al. A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[24] K. Roy,et al. Modeling and estimation of failure probability due to parameter variations in nano-scale SRAMs for yield enhancement , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[25] Ingvar Carlson. Design and Evaluation of High Density 5T SRAM Cache for Advanced Microprocessors , 2004 .
[26] Sani R. Nassif,et al. High Performance CMOS Variability in the 65nm Regime and Beyond , 2006, 2007 IEEE International Electron Devices Meeting.
[27] E. Seevinck,et al. Static-noise margin analysis of MOS SRAM cells , 1987 .
[28] Kaushik Roy,et al. Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies , 2006, 2006 IEEE International SOC Conference.
[29] S. Kosonocky,et al. Fluctuation limits & scaling opportunities for CMOS SRAM cells , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..