Algorithm level re-computing using implementation diversity: a register transfer level concurrent error detection technique

Concurrent error detection (CED) based on time redundancy entails performing the normal computation and the re-computation at different times and then comparing their results. Time redundancy implemented can only detect transient faults. We present two algorithm-level time-redundancy-based CED schemes that exploit register transfer level (RTL) implementation diversity to detect transient and permanent faults. At the RTL, implementation diversity can be achieved either by changing the operation-to-operator allocation or by shifting the operands before re-computation. By exploiting allocation diversity and data diversity, a stuck-at fault will affect the two results in two different ways. The proposed schemes yield good fault detection probability with very low area overhead. We used the Synopsys behavior complier (BC), to validate the schemes.

[1]  Edward J. McCluskey,et al.  Which concurrent error detection scheme to choose ? , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[2]  A. H. Johnston Radiation effects in advanced microelectronics technologies , 1997 .

[3]  Chin-Long Wey,et al.  Concurrent error detection in high speed carry-free division using alternative input data , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[4]  R. Ramaswami,et al.  Book Review: Design and Analysis of Fault-Tolerant Digital Systems , 1990 .

[5]  A. Orailoglu,et al.  Scheduling with rollback constraints in high-level synthesis of self-recovering ASICs , 1992, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing.

[6]  Ramesh Karri,et al.  Introspection: A register transfer level technique for cocurrent error detection and diagnosis in data dominated designs , 2001, TODE.

[7]  Barry W. Johnson,et al.  Efficient use of time and hardware redundancy for concurrent error detection in a 32-bit VLSI adder , 1988 .

[8]  Janak H. Patel,et al.  Concurrent Error Detection in ALU's by Recomputing with Shifted Operands , 1982, IEEE Transactions on Computers.

[9]  E. Normand Single-event effects in avionics , 1996 .

[10]  Barry W. Johnson Design & analysis of fault tolerant digital systems , 1988 .

[11]  Earl E. Swartzlander,et al.  Efficient time redundancy for error correcting inner-product units and convolvers , 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

[12]  Niraj K. Jha,et al.  Behavioral synthesis of fault secure controller/datapaths using aliasing probability analysis , 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

[13]  E.E. Swartzlander,et al.  Fault tolerant Newton-Raphson dividers using time shared TMR , 1996, Proceedings. 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[14]  Michael Nicolaidis,et al.  Fault-Secure Parity Prediction Arithmetic Operators , 1997, IEEE Des. Test Comput..

[15]  M. Baze,et al.  Comparison of error rates in combinational and sequential logic , 1997 .

[16]  Michael Nicolaidis Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

[17]  Edward J. McCluskey,et al.  Combinational logic synthesis for diversity in duplex systems , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[18]  Janak H. Patel,et al.  Concurrent Error Detection in Multiply and Divide Arrays , 1983, IEEE Transactions on Computers.

[19]  Suchai Thanawastien,et al.  An SFS Berger check prediction ALU and its application to self-checking processor designs , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[20]  Liang-Gee Chen,et al.  Concurrent error detection in array multipliers by BIDO , 1995 .

[21]  Gernot Metze,et al.  Fault Detection Capabilities of Alternating Logic , 1978, IEEE Transactions on Computers.

[22]  Miodrag Potkonjak,et al.  High level synthesis techniques for efficient built-in-self-repair , 1993, Proceedings of 1993 IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems.

[23]  S. S. Ravi,et al.  Efficient algorithms for analyzing and synthesizing fault-tolerant datapaths , 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

[24]  E. Normand Single event upset at ground level , 1996 .

[25]  Earl E. Swartzlander,et al.  Error-correcting Goldschmidt dividers using time shared TMR , 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

[26]  G. Russell,et al.  A 32 bit RISC processor with concurrent error detection , 1998, Proceedings. 24th EUROMICRO Conference (Cat. No.98EX204).

[27]  Ramesh Karri,et al.  Time-constrained scheduling during high-level synthesis of fault-secure VLSI digital signal processors , 1996, IEEE Trans. Reliab..