Photomask etch challenges for future technology nodes

Requirements to meet the 45nm technology node place many challenges on photomask makers. Resolution Enhancement Techniques (RET), employed to extend optical lithography in order to resolve sub-resolution features have burdened mask processes margins. Also, yield compromises rise with every nanometer of error incurred on the photomask (and device) platforms. As photomask costs rise, strict performance control is required for all photomask varieties utilized in the mask shop. Mask etching for future technology nodes, requires a system-level data and diagnostics strategy. This necessity stems from the need to control the performance of the mask etcher at increasingly stringent and diverse requirements of the photomask production environment. From etch applications perspective, alternating phase-shift masks (APSMs) and OPC masks pose key challenges. Specifically, the etcher needs to provide highly uniform CD performance across the entire active area of the photomask - for various feature sizes and load distributions, with no degradation to profiles. It is challenging to strike this balance, yet maintain adequate process window. Future etch systems require sensitive controls and knobs to provide this high precision and repeatable performance. Additionally, incoming variation in plate characteristics and quality necessitate tuning knobs capable of targeting the optimum performance across a diversity of applications.

[1]  Banqiu Wu,et al.  Photomask plasma etching: A review , 2006 .

[2]  Banqiu Wu Thermodynamic study of photomask plasma etching , 2004, SPIE Photomask Technology.

[3]  Kevin Barraclough,et al.  I and i , 2001, BMJ : British Medical Journal.