Statistical analysis of SRAM cell stability

The impact of process variation on SRAM yield has become a serious concern in scaled technologies. In this paper, we propose a methodology to analyze the stability of an SRAM cell in the presence of random fluctuations in the device parameters. We provide a theoretical framework for characterizing the DC noise margin of a memory cell and develop models for estimating the cell failure probabilities during read and write operations. The proposed models are verified against extensive Monte-Carlo simulations and are shown to match well over the entire range of the distributions well beyond the 3-sigma extremes

[1]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[2]  Ching-Te Chuang,et al.  Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell , 2004, Proceedings of the 30th European Solid-State Circuits Conference.

[3]  Kaushik Roy,et al.  Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[4]  Duane S. Boning,et al.  Analysis and decomposition of spatial variation in integrated circuit processes and devices , 1997 .

[5]  K. R. Lakshmikumar,et al.  Characterisation and modeling of mismatch in MOS transistors for precision analog design , 1986 .

[6]  J. Lohstroh,et al.  Worst-case static noise margin criteria for logic circuits and their mathematical equivalence , 1983, IEEE Journal of Solid-State Circuits.

[7]  A. Toriumi,et al.  Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's , 1994 .

[8]  Kurt Keutzer,et al.  Impact of systematic spatial intra-chip gate length variability on performance of high-speed digital circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[9]  Vivek De,et al.  Intrinsic MOSFET parameter fluctuations due to random dopant placement , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[10]  R. Keyes The effect of randomness in the distribution of impurity atoms on FET thresholds , 1975 .

[11]  Sani R. Nassif,et al.  A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance , 2000, Proceedings 37th Design Automation Conference.

[12]  Ping Wang,et al.  Variability in sub-100nm SRAM designs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[13]  D. Mocuta,et al.  High performance 65 nm SOI technology with dual stress liner and low capacitance SRAM cell , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Technology, 2005..

[14]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[15]  Marcel J. M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[16]  S. Roy,et al.  The impact of random doping effects on CMOS SRAM cell , 2004, Proceedings of the 30th European Solid-State Circuits Conference.

[17]  D. Burnett,et al.  Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits , 1994, Proceedings of 1994 VLSI Technology Symposium.

[18]  M.J.M. Pelgrom,et al.  Matching properties of MOS transistors , 1989 .

[19]  A. R. Newton,et al.  Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas , 1990 .