Adaptive power allocation for many-core systems inspired from multiagent auction model

Scaling of future many-core chips is hindered by the challenge imposed by ever-escalating power consumption. At its worst, an increasing fraction of the chips will have to be shut down, as power supply is inadequate to simultaneously switch all the transistors. This so-called dark silicon problem brings up a critical issue regarding how to achieve the maximum performance within a given limited power budget. This issue is further complicated by two facts. First, high variation in power budget calls for wide range power control capability, whereas most current frequency/voltage scaling techniques cannot effectively adjust power over such a wide range. Second, as the applications' behavior becomes more complicated, there is a pressing need for scalability and global coordination, rendering heuristic-based centralized or fully distributed control schemes inefficient. To address the aforementioned problems, in this paper, a power allocation method employing multiagent auction models is proposed, referred as Hierarchal MultiAgent based Power allocation (HiMAP). Tiles act the role of consumers to bid for power budget and the whole process is modeled by a combinatorial auction, whereas HiMAP finds the Walrasian equilibria. Experimental results have confirmed that HiMAP can reduce the execution time by as much as 45% compared to three competing methods. The runtime overhead and cost of HiMAP are also small, which makes it suitable for adaptive power allocation in many-core systems.

[1]  Zhiming Li,et al.  A low cost, high performance dynamic-programming-based adaptive power allocation scheme for many-core architectures in the dark silicon era , 2013, The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia.

[2]  Mahmut T. Kandemir,et al.  PEPON: Performance-aware hierarchical power budgeting for NoC based multicores , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[3]  Qing Wu,et al.  A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Tim Roughgarden,et al.  Algorithmic Game Theory , 2007 .

[5]  Xiaorui Wang,et al.  DPPC: Dynamic Power Partitioning and Control for Improved Chip Multiprocessor Performance , 2014, IEEE Transactions on Computers.

[6]  Jörg Henkel,et al.  ADAM: Run-time agent-based distributed application mapping for on-chip communication , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[7]  Sherief Reda,et al.  Adaptive Power Capping for Servers with Multithreaded Workloads , 2012, IEEE Micro.

[8]  Coniferous softwood GENERAL TERMS , 2003 .

[9]  Yingtao Jiang,et al.  On self-tuning networks-on-chip for dynamic network-flow dominance adaptation , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[10]  Kai Ma,et al.  PGCapping: Exploiting power gating for power capping and core lifetime balancing in CMPs , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[11]  Chao Li,et al.  iSwitch: Coordinating and optimizing renewable energy powered server clusters , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).