Defect tolerance at the end of the roadmap

As feature sizes shrink closer to single digit nanometer dimensions, defect tolerance will become increasingly important. This is true whether the chips are manufactured using top-down methods, such as photolithography, or bottom-up assembly processes such as Chemically Assembled Electronic Nanotechnology (CAEN). In this chapter, we examine the consequences of this increased rate of defects, and describe a defect tolerance methodology centered around reconfigurable devices, a scalable testing method, and dynamic place-and-route. We summarize some of our own results in this area as well as those of others, and enumerate some future research directions required to make nanometer-scale computing a reality.

[1]  Satoshi Kaneko,et al.  Defect and fault tolerance FPGAs by shifting the configuration data , 1999, Proceedings 1999 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (EFT'99).

[2]  Jack K. Wolf,et al.  Born again group testing: Multiaccess communications , 1985, IEEE Trans. Inf. Theory.

[3]  Fabrizio Lombardi,et al.  Minimizing the number of programming steps for diagnosis of interconnect faults in FPGAs , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

[4]  S. Goldstein,et al.  Scalable Defect Tolerance for Molecular Electronics , 2002 .

[5]  Michael Butts,et al.  Molecular electronics: devices, systems and tools for gigagate, gigabit chips , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[6]  James D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration , 2002, IEEE J. Solid State Circuits.

[7]  Reiner W. Hartenstein,et al.  A decade of reconfigurable computing: a visionary retrospective , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[8]  John Wawrzynek,et al.  Stochastic, spatial routing for hypergraphs, trees, and meshes , 2003, FPGA '03.

[9]  Stoddart,et al.  Electronically configurable molecular-based logic gates , 1999, Science.

[10]  Wolfgang Fichtner,et al.  Practical design of globally-asynchronous locally-synchronous systems , 2000, Proceedings Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000) (Cat. No. PR00586).

[11]  Mehdi Baradaran Tahoori,et al.  Defects and faults in quantum cellular automata at nano scale , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

[12]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[13]  Seth Copen Goldstein,et al.  Reconfigurable computing and electronic nanotechnology , 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

[14]  R. Dorfman The Detection of Defective Members of Large Populations , 1943 .

[15]  Miodrag Potkonjak,et al.  Low overhead fault-tolerant FPGA systems , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[16]  S.C. Goldstein,et al.  Digital logic using molecular electronics , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[17]  Gregory S. Snider,et al.  A Defect-Tolerant Computer Architecture: Opportunities for Nanotechnology , 1998 .

[18]  Emanuel Knill,et al.  Non-adaptive Group Testing in the Presence of Errors , 1998, Discret. Appl. Math..

[19]  Hideo Fujiwara,et al.  Universal Fault Diagnosis for Lookup Table FPGAs , 1998, IEEE Des. Test Comput..

[20]  S. Nassif,et al.  Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[21]  Gary H. Bernstein,et al.  Quantum-dot cellular automata: computing by field polarization , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[22]  Parag K. Lala,et al.  A CMOS-based logic cell for the implementation of self-checking FPGAs , 1999, Proceedings 1999 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (EFT'99).

[23]  Sandeep K. Shukla,et al.  Nanolab: a tool for evaluating reliability of defect-tolerant nano architectures , 2004, IEEE Computer Society Annual Symposium on VLSI.

[24]  Vishwani D. Agrawal,et al.  Tutorial test generation for VLSI chips , 1988 .

[25]  C. Dekker,et al.  Logic Circuits with Carbon Nanotube Transistors , 2001, Science.

[26]  André DeHon,et al.  Hardware-assisted simulated annealing with application for fast FPGA placement , 2003, FPGA '03.

[27]  Richard J. Carter,et al.  Defect tolerance on the Teramac custom computer , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[28]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[29]  Seth Copen Goldstein,et al.  Spatial computation , 2004, ASPLOS XI.

[30]  Seth Copen Goldstein,et al.  Compiling Application-Specific Hardware , 2002, FPL.

[31]  Fabrizio Lombardi,et al.  On the diagnosis of programmable interconnect systems: Theory and application , 1996, Proceedings of 14th VLSI Test Symposium.

[32]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[33]  Santosh K. Shrivastava,et al.  Reliable Computer Systems , 1985, Texts and Monographs in Computer Science.

[34]  Daniel P. Siewiorek,et al.  Reliable computer systems (2nd ed.): design and evaluation , 1992 .

[35]  Charles M. Lieber,et al.  Logic Gates and Computation from Assembled Nanowire Building Blocks , 2001, Science.

[36]  G. Sigl,et al.  GORDIAN: a new global optimization/rectangle dissection method for cell placement , 1988, ICCAD 1988.

[37]  Jie Chen,et al.  A Probabilistic-Based Design Methodology for Nanoscale Computation , 2003, ICCAD 2003.

[38]  Seth Copen Goldstein,et al.  Tunable fault tolerance for runtime reconfigurable architectures , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[39]  Charles E. Stroud,et al.  Dynamic fault tolerance in FPGAs via partial reconfiguration , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[40]  D. Michael Miller,et al.  The analysis of one-dimensional linear cellular automata and their aliasing properties , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[41]  Fabrizio Lombardi,et al.  A row-based FPGA for single and multiple stuck-at fault detection , 1995, Proceedings of International Workshop on Defect and Fault Tolerance in VLSI.

[42]  Seth Copen Goldstein,et al.  NanoFabrics: spatial computing using molecular electronics , 2001, ISCA 2001.

[43]  Bruce M. Maggs,et al.  Reconfiguring Arrays with Faults Part I: Worst-Case Faults , 1997, SIAM J. Comput..

[44]  D. Du,et al.  Combinatorial Group Testing and Its Applications , 1993 .

[45]  André DeHon,et al.  Array-based architecture for FET-based, nanoscale electronics , 2003 .

[46]  Daniel A. Spielman,et al.  Highly fault-tolerant parallel computation , 1996, Proceedings of 37th Conference on Foundations of Computer Science.

[47]  E.S. Kuh,et al.  PROUD: a sea-of-gates placement algorithm , 1988, IEEE Design & Test of Computers.

[48]  Mark A. Reed and Takhee Lee,et al.  Molecular Nanoelectronics , 2003 .