Exploration of Si/Ge Tunnel FET Bit Cells for Ultra-low Power Embedded Memory
暂无分享,去创建一个
[1] K. Boucart,et al. Length scaling of the Double Gate Tunnel FET with a high-K gate dielectric , 2007 .
[2] Kaushik Roy,et al. A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[3] Yibin Ye,et al. 2 GHz 2 Mb 2T Gain Cell Memory Macro With 128 GBytes/sec Bandwidth in a 65 nm Logic Process Technology , 2009, IEEE Journal of Solid-State Circuits.
[4] Rita Rooyackers,et al. Analysis of trap-assisted tunneling in vertical Si homo-junction and SiGe hetero-junction Tunnel-FETs , 2013 .
[5] Wilfred Gomes,et al. 5.9 Haswell: A family of IA 22nm processors , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[6] Swarup Bhunia,et al. A circuit-software co-design approach for improving EDP in reconfigurable frameworks , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
[7] David Blaauw,et al. A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[8] Saibal Mukhopadhyay,et al. Ultra-low power electronics with Si/Ge tunnel FET , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[9] Fan Zhang,et al. A Batteryless 19 $\mu$W MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications , 2013, IEEE Journal of Solid-State Circuits.
[10] Swaroop Ghosh,et al. A 1 Gb 2 GHz 128 GB/s Bandwidth Embedded DRAM in 22 nm Tri-Gate CMOS Technology , 2015, IEEE Journal of Solid-State Circuits.
[11] Andrew R. Brown,et al. Statistical variability and reliability in nanoscale FinFETs , 2011, 2011 International Electron Devices Meeting.
[12] Wei Zhang,et al. A Write-Back-Free 2T1D Embedded DRAM With Local Voltage Sensing and a Dual-Row-Access Low Power Mode , 2013, IEEE Trans. Circuits Syst. I Regul. Pap..
[13] Benton Calhoun,et al. A 0.6V 8 pJ/write non-volatile CBRAM macro embedded in a body sensor node for ultra low energy applications , 2013, 2013 Symposium on VLSI Circuits.
[14] A. C. W. Wong,et al. Sensium: an ultra-low-power wireless body sensor network platform: Design & application challenges , 2009, 2009 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.
[15] K. Boucart,et al. Double-Gate Tunnel FET With High-$\kappa$ Gate Dielectric , 2007, IEEE Transactions on Electron Devices.
[16] Taewhan Kim,et al. Ultra low power 2-tier 3D stacked sub-threshold H.264 intra frame encoder , 2013, 2013 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).
[17] Rajesh Kumar,et al. Haswell: A Family of IA 22 nm Processors , 2015, IEEE Journal of Solid-State Circuits.
[18] Chris H. Kim,et al. A 2T1C Embedded DRAM Macro With No Boosted Supplies Featuring a 7T SRAM Based Repair and a Cell Storage Monitor , 2012, IEEE Journal of Solid-State Circuits.
[19] David Blaauw,et al. A 5.42nW/kB retention power logic-compatible embedded DRAM with 2T dual-Vt gain cell for low power sensing applications , 2010, 2010 IEEE Asian Solid-State Circuits Conference.
[20] K. Roy,et al. Band-to-Band Tunneling Ballistic Nanowire FET: Circuit-Compatible Device Modeling and Design of Ultra-Low-Power Digital Circuits and Memories , 2009, IEEE Transactions on Electron Devices.
[21] Dhiraj K. Pradhan,et al. A novel Si-Tunnel FET based SRAM design for ultra low-power 0.3V VDD applications , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[22] David Blaauw,et al. Low power circuit design based on heterojunction tunneling transistors (HETTs) , 2009, ISLPED.
[23] Qin Zhang,et al. Low-Voltage Tunnel Transistors for Beyond CMOS Logic , 2010, Proceedings of the IEEE.
[24] Narayanan Vijaykrishnan,et al. Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design , 2011, 2011 IEEE/ACM International Symposium on Nanoscale Architectures.
[25] S. Kosonocky,et al. Fluctuation limits & scaling opportunities for CMOS SRAM cells , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..
[26] Chris H. Kim,et al. Logic-compatible embedded DRAM design for memory intensive low power systems , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[27] Y. Itoh,et al. 0.5 V asymmetric three-Tr. cell (ATC) DRAM using 90nm generic CMOS logic process , 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..
[28] J. Fastenau,et al. Demonstration of MOSFET-like on-current performance in arsenide/antimonide tunnel FETs with staggered hetero-junctions for 300mV logic applications , 2011, 2011 International Electron Devices Meeting.
[29] Chris H. Kim,et al. A 667 MHz Logic-Compatible Embedded DRAM Featuring an Asymmetric 2T Gain Cell for High Speed On-Die Caches , 2012, IEEE Journal of Solid-State Circuits.
[30] Andreas Peter Burg,et al. Two-port low-power gain-cell storage array: Voltage scaling and retention time , 2012, 2012 IEEE International Symposium on Circuits and Systems.
[31] David Blaauw,et al. A 467nW CMOS visual motion sensor with temporal averaging and pixel aggregation , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[32] Balaram Sinharoy,et al. POWER7: IBM's next generation server processor , 2010, 2009 IEEE Hot Chips 21 Symposium (HCS).
[33] Jiun-In Guo,et al. A 0.48V 0.57nJ/pixel video-recording SoC in 65nm CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[34] A. Burg,et al. A sub-VT 2T gain-cell memory for biomedical applications , 2012, 2012 IEEE Subthreshold Microelectronics Conference (SubVT).
[35] D. Esseni,et al. Design options for hetero-junction tunnel FETs with high on current and steep sub-threshold voltage slope , 2013, 2013 IEEE International Electron Devices Meeting.
[36] Liang-Gee Chen,et al. Fast Algorithm and Architecture Design of Low-Power Integer Motion Estimation for H.264/AVC , 2007, IEEE Transactions on Circuits and Systems for Video Technology.