Dynamic write limited minimum operating voltage for nanoscale SRAMs

Dynamic stability analysis for SRAM has been growing in importance with technology scaling. This paper analyzes dynamic writability for designing low voltage SRAM in nanoscale technologies. We propose a definition for dynamic write limited VMIN. To the best of our knowledge, this is the first definition of a VMIN based on dynamic stability. We show how this VMIN is affected by the array capacity, the voltage scaling of the word-line pulse, the bitcell parasitics, and the number of cycles prior to the first read access. We observe that the array can be either dynamically or statically write limited depending on the aforementioned factors. Finally, we look at how voltage-bias based write assist techniques affect the dynamic write limited VMIN.

[1]  Sani R. Nassif,et al.  Statistical analysis of SRAM cell stability , 2006, 2006 43rd ACM/IEEE Design Automation Conference.

[2]  Zheng Guo,et al.  Dynamic SRAM stability characterization in 45nm CMOS , 2010, 2010 Symposium on VLSI Circuits.

[3]  Robert C. Aitken,et al.  On the efficacy of write-assist techniques in low voltage nanoscale SRAMs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[4]  Jiajing Wang,et al.  Impact of circuit assist methods on margin and performance in 6T SRAM , 2010 .

[5]  Jiajing Wang,et al.  Statistical modeling for the minimum standby supply voltage of a full SRAM array , 2007, ESSCIRC 2007 - 33rd European Solid-State Circuits Conference.

[6]  Seung-Ho Song,et al.  Implementation of low-voltage static RAM with enhanced data stability and circuit speed , 2009, Microelectron. J..

[7]  J. Meindl,et al.  The impact of intrinsic device fluctuations on CMOS SRAM cell stability , 2001, IEEE J. Solid State Circuits.

[8]  Yehea I. Ismail,et al.  Accurate Estimation of SRAM Dynamic Stability , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[9]  E. Seevinck,et al.  Static-noise margin analysis of MOS SRAM cells , 1987 .

[10]  M. Sharifkhani,et al.  SRAM Cell Stability: A Dynamic Perspective , 2009, IEEE Journal of Solid-State Circuits.

[11]  Wei Dong,et al.  SRAM dynamic stability: Theory, variability and analysis , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[12]  Rob A. Rutenbar,et al.  Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design , 2008, 21st International Conference on VLSI Design (VLSID 2008).

[13]  Bharadwaj Amrutur,et al.  A replica technique for wordline and sense control in low-power SRAM's , 1998, IEEE J. Solid State Circuits.

[14]  S. Kosonocky,et al.  Fluctuation limits & scaling opportunities for CMOS SRAM cells , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[15]  Jiajing Wang,et al.  Analyzing static and dynamic write margin for nanometer SRAMs , 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

[16]  T. Douseki,et al.  A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment - sure write operation by using step-down negatively overdriven bitline scheme , 2006, IEEE Journal of Solid-State Circuits.

[17]  M. Nomura,et al.  Multi-step word-line control technology in hierarchical cell architecture for scaled-down high-density SRAMs , 2010, 2010 Symposium on VLSI Circuits.

[18]  Rob A. Rutenbar,et al.  Statistical Blockade: A Novel Method for Very Fast Monte Carlo Simulation of Rare Circuit Events, and its Application , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[19]  Atsushi Kawasumi,et al.  A process-variation-tolerant dual-power-supply SRAM with 0.179µm2 Cell in 40nm CMOS using level-programmable wordline driver , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[20]  S. Nassif,et al.  Analytical Modeling of SRAM Dynamic Stability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[21]  R. Wong,et al.  Scaling of 32nm low power SRAM with high-K metal gate , 2008, 2008 IEEE International Electron Devices Meeting.