On effective TSV repair for 3D-stacked ICs
暂无分享,去创建一个
[1] Israel Koren,et al. Defect tolerance in VLSI circuits: techniques and yield analysis , 1998, Proc. IEEE.
[2] R. Berger,et al. Megapixel CMOS image sensor fabricated in three-dimensional integrated circuit technology , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[3] Katsuyuki Sakuma,et al. Three-dimensional silicon integration , 2008, IBM J. Res. Dev..
[4] Yuan Xie,et al. System-level cost analysis and design exploration for three-dimensional integrated circuits (3D ICs) , 2009, 2009 Asia and South Pacific Design Automation Conference.
[5] Hsien-Hsin S. Lee,et al. Test Challenges for 3D Integrated Circuits , 2009, IEEE Design & Test of Computers.
[6] G. Smith,et al. Yield considerations in the choice of 3D technology , 2007, 2007 International Symposium on Semiconductor Manufacturing.
[7] Yervant Zorian,et al. Testing 3D chips containing through-silicon vias , 2009, 2009 International Test Conference.
[8] Gabriel H. Loh,et al. 3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.
[9] Qiang Xu,et al. Yield enhancement for 3D-stacked ICs: Recent advances and challenges , 2012, 17th Asia and South Pacific Design Automation Conference.
[10] K. Soejima,et al. A 3D Packaging Technology for 4 Gbit Stacked DRAM with 3 Gbps Data Transfer , 2006, 2006 International Electron Devices Meeting.
[11] S. Y. Kung,et al. Yield enhancement for WSI array processors using two-and-half-track switches , 1990, 1990 Proceedings. International Conference on Wafer Scale Integration.
[12] Young-Hyun Jun,et al. 8 Gb 3-D DDR3 DRAM Using Through-Silicon-Via Technology , 2009, IEEE Journal of Solid-State Circuits.
[13] Kazumasa Tanida,et al. Chip Scale Camera Module (CSCM) using Through-Silicon-Via (TSV) , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[14] Luca Benini,et al. A low-overhead fault tolerance scheme for TSV-based 3D network on chip links , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.
[15] Qiang Xu,et al. Test architecture design and optimization for three-dimensional SoCs , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[16] Yu-Jen Huang,et al. Yield-enhancement techniques for 3D random access memories , 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.
[17] So-Ra Kim,et al. 8Gb 3D DDR3 DRAM using through-silicon-via technology , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[18] Hsien-Hsin S. Lee,et al. An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[19] Sherief Reda,et al. Strategies for improving the parametric yield and profits of 3D ICs , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[20] V. Moroz,et al. Performanace and reliability analysis of 3D-integration structures employing Through Silicon Via (TSV) , 2009, 2009 IEEE International Reliability Physics Symposium.
[21] Andrew V. Goldberg,et al. Beyond the flow decomposition barrier , 1998, JACM.
[22] TingTing Hwang,et al. TSV redundancy: Architecture and design issues in 3D IC , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[23] C. Sharbono,et al. Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking , 2006, 56th Electronic Components and Technology Conference 2006.
[24] Arvind Kumar,et al. Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..
[25] Nobuaki Takahashi,et al. Development of 3D-Packaging Process Technology for Stacked Memory Chips , 2006 .
[26] Tao Zhang,et al. A customized design of DRAM controller for on-chip 3D DRAM stacking , 2010, IEEE Custom Integrated Circuits Conference 2010.
[27] Qiang Xu,et al. Yield enhancement for 3D-stacked memory by redundancy sharing across dies , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[28] Thomas Erlebach,et al. Length-bounded cuts and flows , 2006, TALG.