Reliability-centric probabilistic analysis of VLSI circuits
暂无分享,去创建一个
[1] Kenneth F. Galloway,et al. Simulating single-event burnout of n-channel power MOSFET's , 1993 .
[2] J.A.B. Fortes,et al. Bifurcations and fundamental error bounds for fault-tolerant computations , 2005, IEEE Transactions on Nanotechnology.
[3] Mahmut T. Kandemir,et al. Compiler-directed instruction duplication for soft error detection , 2005, Design, Automation and Test in Europe.
[4] Paris D. Wiley. Fault tolerant design verific ation through the use of laser fault injection , 2004 .
[5] Mehdi Baradaran Tahoori,et al. Defects and faults in quantum cellular automata at nano scale , 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..
[6] Jianbo Gao,et al. Toward hardware-redundant, fault-tolerant logic for nanoelectronics , 2005, IEEE Design & Test of Computers.
[7] Vishwani D. Agrawal,et al. A theory of testability with application to fault coverage analysis , 1989, [1989] Proceedings of the 1st European Test Conference.
[8] Harry B. Hunt,et al. On Computing Signal Probability and Detection Probability of Stuck-at Faults , 1990, IEEE Trans. Computers.
[9] B. P. Phillips. On computing the detection probability of stuck-at faults in a combinational circuit , 1991, Conference Record AUTOTESTCON '91 IEEE Systems Readiness Technology Conference Improving Systems Effectiveness in the Changing Environment of the '90s.
[10] Naresh R. Shanbhag,et al. Soft-Error-Rate-Analysis (SERA) Methodology , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[11] S. Katkoori,et al. Selective triple Modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs , 2004, IEEE Transactions on Nuclear Science.
[12] Vishwani D. Agrawal,et al. Essentials of electronic testing for digital, memory, and mixed-signal VLSI circuits [Book Review] , 2000, IEEE Circuits and Devices Magazine.
[13] Mahmut T. Kandemir,et al. Improving soft-error tolerance of FPGA configuration bits , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[14] Michael I. Jordan,et al. Loopy Belief Propagation for Approximate Inference: An Empirical Study , 1999, UAI.
[15] William Heidergott,et al. SEU tolerant device, circuit and processor design , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[16] John P. Hayes,et al. Accurate reliability evaluation and enhancement via probabilistic transfer matrices , 2005, Design, Automation and Test in Europe.
[17] Kartik Mohanram,et al. Cost-effective radiation hardening technique for combinational logic , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
[18] Marek J. Druzdzel,et al. Efficient stochastic sampling algorithms for bayesian networks , 2001 .
[19] Vivek De,et al. Design and reliability challenges in nanometer technologies , 2004, Proceedings. 41st Design Automation Conference, 2004..
[20] Sandeep K. Shukla,et al. NANOLAB-a tool for evaluating reliability of defect-tolerant nanoarchitectures , 2005 .
[21] Vivek De,et al. Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[22] Abhijit Chatterjee,et al. Soft-error tolerance analysis and optimization of nanometer circuits , 2005, Design, Automation and Test in Europe.
[23] Bernd Becker,et al. A BDD-based algorithm for computation of exact fault detection probabilities , 1993, FTCS-23 The Twenty-Third International Symposium on Fault-Tolerant Computing.
[24] P. Robinson,et al. Anomalies due to single event upsets , 1994 .
[25] Gary S. Ditlow,et al. Random Pattern Testability , 1984, IEEE Transactions on Computers.
[26] P. Jonker,et al. A defect-?and fault-tolerant architecture for nanocomputers , 2003 .
[27] Rudy Lauwereins,et al. Design, Automation, and Test in Europe , 2008 .
[28] Michael Nicolaidis. Time redundancy based soft-error tolerance to rescue nanometer technologies , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[29] Sujit Dey,et al. Constraint-aware robustness insertion for optimal noise-tolerance enhancement in VLSI circuits , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[30] S. Bhanja,et al. Scalable probabilistic computing models using Bayesian networks , 2005, 48th Midwest Symposium on Circuits and Systems, 2005..
[31] J. von Neumann,et al. Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .
[32] A. S. Sadek,et al. Fault-tolerant techniques for nanocomputers , 2002 .
[33] Sanjukta Bhanja,et al. Dependency preserving probabilistic modeling of switching activity using Bayesian networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[34] Nur A. Touba,et al. Cost-effective approach for reducing soft error failure rate in logic circuits , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[35] Massimo Violante. Accurate single-event-transient analysis via zero-delay logic simulation , 2003 .
[36] Radu Marculescu,et al. Sequence compaction for power estimation: theory and practice , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[37] Narayanan Vijaykrishnan,et al. The effect of threshold voltages on the soft error rate [memory and logic circuits] , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[38] Massimo Violante,et al. Fault list compaction through static timing analysis for efficient fault injection experiments , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..
[39] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.
[40] Sanjukta Bhanja,et al. An accurate probabilistic model for error detection , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[41] Alexander Albicki,et al. Efficient testability enhancement for combinational circuit , 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.
[42] David J. Spiegelhalter,et al. Probabilistic Networks and Expert Systems , 1999, Information Science and Statistics.
[43] R. Pathak. A generalized algorithm for bounding fault detection probabilities in combinational circuits , 1993, AUTOTESTCON 93.
[44] Naresh R. Shanbhag,et al. Toward achieving energy efficiency in presence of deep submicron noise , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[45] Pradip Bose,et al. The case for lifetime reliability-aware microprocessors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[46] C. Metra,et al. A model for transient fault propagation in combinatorial logic , 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..
[47] Mahmut T. Kandemir,et al. Reliability-centric high-level synthesis , 2005, Design, Automation and Test in Europe.
[48] P. Hazucha,et al. Impact of CMOS technology scaling on the atmospheric neutron soft error rate , 2000 .
[49] Mario García-Valderas,et al. Techniques for fast transient fault grading based on autonomous emulation [IC fault tolerance evaluation] , 2005, Design, Automation and Test in Europe.
[50] Nicholas Pippenger,et al. Reliable computation by formulas in the presence of noise , 1988, IEEE Trans. Inf. Theory.
[51] Dan Alexandrescu,et al. New methods for evaluating the impact of single event transients in VDSM ICs , 2002, 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002. DFT 2002. Proceedings..
[52] Yan Qi,et al. Markov chains and probabilistic computation-a general framework for multiplexed nanoelectronic systems , 2005, IEEE Transactions on Nanotechnology.
[53] Gary H. Bernstein,et al. Operation of a quantum-dot cellular automata (QCA) shift register and analysis of errors , 2003 .
[54] Jie Chen,et al. A Probabilistic-Based Design Methodology for Nanoscale Computation , 2003, ICCAD 2003.
[55] Yair Weiss,et al. Correctness of Local Probability Propagation in Graphical Models with Loops , 2000, Neural Computation.
[56] Sujit Dey,et al. A scalable soft spot analysis methodology for compound noise effects in nano-meter circuits , 2004, Proceedings. 41st Design Automation Conference, 2004..
[57] S. M. Marcus,et al. Minimum Size and Maximum Packing Density of Nonredundant Semiconductor Devices , 1962, Proceedings of the IRE.
[58] Peter Hazucha,et al. Characterization of soft errors caused by single event upsets in CMOS processes , 2004, IEEE Transactions on Dependable and Secure Computing.
[59] S. Narendra,et al. Measurements and analysis of SER-tolerant latch in a 90-nm dual-V/sub T/ CMOS process , 2004, IEEE Journal of Solid-State Circuits.
[60] Wen-Ben Jone,et al. CACOP-a random pattern testability analyzer , 1995, IEEE Trans. Syst. Man Cybern..
[61] Nicholas Pippenger. Reliable Computation in the Presence of Noise , 1986 .
[62] Lloyd W. Massengill,et al. Impact of scaling on soft-error rates in commercial microprocessors , 2002 .
[63] David Blaauw,et al. /spl tau/AU: Timing analysis under uncertainty , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
[64] Phaedon Avouris,et al. Carbon nanotube field-effect transistors and logic circuits , 2002, DAC '02.
[65] Sanjukta Bhanja,et al. Cascaded Bayesian inferencing for switching activity estimation with correlated inputs , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[66] Wen-Ben Jone,et al. CACOP - A Random Pattern Testability Analyzer , 1993, The Sixth International Conference on VLSI Design.
[67] Changhe Yuan,et al. An Importance Sampling Algorithm Based on Evidence Pre-propagation , 2002, UAI.
[68] Pinaki Mazumder,et al. Resonant tunneling diodes: models and properties , 1998, Proc. IEEE.
[69] Ming Zhang,et al. Logic soft errors in sub-65nm technologies design and CAD challenges , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[70] Vivek De,et al. Measurements and analysis of SER-tolerant latch in a 90-nm dual-V/sub T/ CMOS process , 2004 .
[71] Salvador Manich,et al. Maximizing the weighted switching activity in combinational CMOS circuits under the variable delay model , 1997, Proceedings European Design and Test Conference. ED & TC 97.
[72] J. Fortes,et al. Reliability modeling of nanoelectronic circuits , 2005, 5th IEEE Conference on Nanotechnology, 2005..
[73] Sanjukta Bhanja,et al. Causal probabilistic input dependency learning for switching model in VLSI circuits , 2005, GLSVLSI '05.
[74] Mehdi Baradaran Tahoori,et al. An accurate SER estimation method based on propagation probability [soft error rate] , 2005, Design, Automation and Test in Europe.
[75] Ming Zhang,et al. A soft error rate analysis (SERA) methodology , 2004, ICCAD 2004.
[76] Max Henrion,et al. Propagating uncertainty in bayesian networks by probabilistic logic sampling , 1986, UAI.
[77] A. Hasman,et al. Probabilistic reasoning in intelligent systems: Networks of plausible inference , 1991 .
[78] Régis Leveugle,et al. Asynchronous circuits transient faults sensitivity evaluation , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[79] Hans-Joachim Wunderlich,et al. PROTEST: A Tool for Probabilistic Testability Analysis , 1985, 22nd ACM/IEEE Design Automation Conference.
[80] Sandeep K. Shukla,et al. Evaluating the reliability of defect-tolerant architectures for nanotechnology with probabilistic model checking , 2004, 17th International Conference on VLSI Design. Proceedings..
[81] M. Poncino,et al. Computation of exact random pattern detection probability , 1993, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '93.