A Hybrid Scheme for On-Chip Voltage Regulation in System-On-a-Chip (SOC)

Conventional off-chip or single-stage on-chip converter will fail to meet the demand for different supply voltage domains for various functional blocks/cores in traditional or future multi-/many-core system-on-a-chips (SOCS). In this paper, a hybrid two-stage voltage regulation scheme is proposed, where the first stage consists of a switching voltage regulator located off-chip, and the second stage consists of a tree structure of linear regulators located on the chip. This approach proves to be efficient, simple and less costly compared to other options that offer total on-chip integration of a switching regulators. The difficulties and limitations of on-chip switching regulator have been analyzed. For the tree structure of on-chip linear regulators two different architectures (cascaded and parallel) have been proposed. It is demonstrated that a cascaded tree of linear regulators is a better solution than a parallel tree structure from performance point of view.

[1]  Giovanni De Micheli,et al.  Power and Reliability Management of SoCs , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  H. Barthelemy,et al.  On-Chip Voltage Regulator Protecting Against Power Analysis Attacks , 2006, 2006 49th IEEE International Midwest Symposium on Circuits and Systems.

[3]  Margaret Martonosi,et al.  An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[4]  J. Kim,et al.  An efficient digital sliding controller for adaptive power supply regulation , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).

[5]  Luca Benini,et al.  Dynamic voltage scaling and power management for portable systems , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[6]  Yao-Wen Chang,et al.  A Provably Good Approximation Algorithm for Power Optimization Using Multiple Supply Voltages , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[7]  A. Makharia,et al.  Integrating power inductors onto the IC-SOC implementation of inductor multipliers for dc-dc converters , 2003, IECON'03. 29th Annual Conference of the IEEE Industrial Electronics Society (IEEE Cat. No.03CH37468).

[8]  Gu-Yeon Wei,et al.  Enabling On-Chip Switching Regulators for Multi-Core Processors using Current Staggering , 2007 .

[9]  Stephen H. Gunther,et al.  Managing the Impact of Increasing Microprocessor Power Consumption , 2001 .

[10]  S. Narendra,et al.  A 480-MHz, multi-phase interleaved buck DC-DC converter with hysteretic control , 2004, 2004 IEEE 35th Annual Power Electronics Specialists Conference (IEEE Cat. No.04CH37551).

[11]  Herminio Marténez,et al.  Modeling of linear-assisted DC-DC converters , 2007, 2007 18th European Conference on Circuit Theory and Design.

[12]  T. Rajeevakumar,et al.  Low-dropout on-chip voltage regulator for low-power circuits , 1994, Proceedings of 1994 IEEE Symposium on Low Power Electronics.

[13]  Margaret Martonosi,et al.  Dynamically exploiting narrow width operands to improve processor power and performance , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

[14]  James W. Clark Linear and Switching Power-Line Regulators , 1990 .

[15]  G. Patounakis,et al.  A fully integrated on-chip DC-DC conversion and power management system , 2004, IEEE Journal of Solid-State Circuits.

[16]  Zhiliang Chen,et al.  A Linear Voltage Regulator for PLL in SOC Application , 2006, 2006 International Conference on Wireless Communications, Networking and Mobile Computing.

[17]  Michael L. Scott,et al.  Energy-efficient processor design using multiple clock domains with dynamic voltage and frequency scaling , 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

[18]  Avinoam Kolodny,et al.  On-Chip Power Distribution Grids With Multiple Supply Voltages for High-Performance Integrated Circuits , 2008, IEEE Trans. Very Large Scale Integr. Syst..

[19]  Peng Xu,et al.  Critical inductance in voltage regulator modules , 2002, APEC. Seventeenth Annual IEEE Applied Power Electronics Conference and Exposition (Cat. No.02CH37335).

[20]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[21]  Sarita V. Adve,et al.  Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.

[22]  Shan Yuan,et al.  Low dropout voltage regulator for wireless applications , 2002, 2002 IEEE 33rd Annual IEEE Power Electronics Specialists Conference. Proceedings (Cat. No.02CH37289).

[23]  Tetsuo Endoh,et al.  An on-chip 96.5% current efficiency CMOS linear regulator using a flexible control technique of output current , 2001 .

[24]  Gero Dittmann,et al.  Exploring power management in multi-core systems , 2008, 2008 Asia and South Pacific Design Automation Conference.

[25]  Gu-Yeon Wei,et al.  A fully digital, energy-efficient, adaptive power-supply regulator , 1999 .

[26]  George C. Verghese,et al.  Principles of Power Electronics , 2023 .

[27]  Vishnu Balan A low-voltage regulator circuit with self-bias to improve accuracy , 2003 .

[28]  T. Karnik,et al.  Area-efficient linear regulator with ultra-fast load regulation , 2005, IEEE Journal of Solid-State Circuits.