Structural Reduction Techniques for Logic-Chain Bridging Fault Diagnosis

This paper proposes four logic-chain bridging fault models, which involve one net in the combinational logic and the other net in the scan chain. Test results of logic-chain bridging faults, unlike existing scan chain fault models, depend on the previous scan inputs as well as primary inputs. A bridging pair extraction algorithm is proposed to quickly extract bridging pairs from the layout. The paper proposed two sets of structural reduction techniques so that runtime is very short. Experimental results on ISCAS benchmark circuits show that, on the average, logic-chain bridging faults can be diagnosed within an accuracy of four bridging pairs. The techniques are still applicable when there are only 10 failing patterns due to limited ATE failure memory. This paper demonstrates the feasibility to diagnose logic-chain bridging faults by software.

[1]  Wu-Tung Cheng,et al.  Diagnose compound scan chain and system logic defects , 2007, 2007 IEEE International Test Conference.

[2]  John M. Acken,et al.  Fault Model Evolution For Diagnosis: Accuracy vs Precision , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[3]  Sudhakar M. Reddy,et al.  Bridge Defect Diagnosis with Physical Information , 2005, 14th Asian Test Symposium (ATS'05).

[4]  Irith Pomeranz,et al.  Detectability of internal bridging faults in scan chains , 2009, 2009 Asia and South Pacific Design Automation Conference.

[5]  Wojciech Maly,et al.  Progressive bridge identification , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[6]  Wu-Tung Cheng,et al.  Efficient diagnosis for multiple intermittent scan chain hold-time faults , 2003, 2003 Test Symposium.

[7]  João Paulo Teixeira,et al.  Automatic fault extraction and simulation of layout realistic faults for integrated analogue circuits , 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

[8]  Shi-Yu Huang,et al.  Quick scan chain diagnosis using signal profiling , 2005, 2005 International Conference on Computer Design.

[9]  A. J. Weger,et al.  A novel scan chain diagnostics technique based on light emission from leakage current , 2004 .

[10]  B. Chess,et al.  Diagnosis of realistic bridging faults with single stuck-at information , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[11]  D. M. H. Walker,et al.  FedEx - a fast bridging fault extractor , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[12]  B. Ricco,et al.  Testing of resistive bridging faults in CMOS flip-flop , 1993, Proceedings ETC 93 Third European Test Conference.

[13]  Janak H. Patel,et al.  E-PROOFS: A CMOS bridging fault simulator , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[14]  Wu-Tung Cheng,et al.  Survey of Scan Chain Diagnosis , 2008, IEEE Design & Test of Computers.

[15]  W. Kent Fuchs,et al.  A deductive technique for diagnosis of bridging faults , 1997, ICCAD 1997.

[16]  Chien-Mo James Li,et al.  Jump Simulation: A Technique for Fast and Precise Scan Chain Fault Diagnosis , 2006, 2006 IEEE International Test Conference.

[17]  Xiaoqing Wen,et al.  VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon) , 2006 .

[18]  John Paul Shen,et al.  Extraction and simulation of realistic CMOS faults using inductive fault analysis , 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

[19]  Sandip Kundu Diagnosing scan chain faults , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[20]  Srikanth Venkataraman,et al.  Poirot: Applications of a Logic Fault Diagnosis Tool , 2001, IEEE Des. Test Comput..

[21]  Yu Huang Dynamic Learning Based Scan Chain Diagnosis , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[22]  J. Chien-Mo Li,et al.  Diagnosis of single stuck-at faults and multiple timing faults in scan chains , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Tracy Larrabee,et al.  Beyond the byzantine generals: unexpected behavior and bridging fault diagnosis , 1996, Proceedings International Test Conference 1996. Test and Design Validity.

[24]  J.A. Waicukauski,et al.  Failure diagnosis of structured VLSI , 1989, IEEE Design & Test of Computers.

[25]  Srikanth Venkataraman,et al.  A technique for fault diagnosis of defects in scan chains , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[26]  Janusz Rajski,et al.  Diagnosis with Limited Failure Information , 2006, 2006 IEEE International Test Conference.

[27]  Leendert M. Huisman Data Mining and Diagnosing IC Fails (Frontiers in Electronic Testing) , 2005 .

[28]  K. C. Y. Mei,et al.  Bridging and Stuck-At Faults , 1974, IEEE Transactions on Computers.

[29]  Wu-Tung Cheng,et al.  Intermittent scan chain fault diagnosis based on signal probability analysis , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

[30]  Chien-Mo James Li,et al.  Diagnosis of Logic-to-chain Bridging Faults , 2008, 2008 IEEE International Test Conference.

[31]  Kevin Stanley,et al.  High-Accuracy Flush-and-Scan Software Diagnostic , 2001, IEEE Des. Test Comput..

[32]  S.D. Millman,et al.  Diagnosing CMOS bridging faults with stuck-at fault dictionaries , 1990, Proceedings. International Test Conference 1990.

[33]  J. Hirase,et al.  Scan chain diagnosis using IDDQ current measurement , 1999, Proceedings Eighth Asian Test Symposium (ATS'99).

[34]  Sudhakar M. Reddy,et al.  Statistical diagnosis for intermittent scan chain hold-time fault , 2003, International Test Conference, 2003. Proceedings. ITC 2003..

[35]  J. Li,et al.  A Fast and Precise Scan Chain Fault Diagnosis Technique , 2006 .