Probabilistic crosstalk delay estimation for ASICs

The crosstalk delay caused by capacitive coupling between wires on a chip is investigated by using a statistical approach and circuit simulations. Two metrics are introduced in order to evaluate an impact of the crosstalk delay on timing design in advance. The first is probabilistic coupling rate (CPR), which can be obtained by the short segment model of the aggressors. Then, the CPR roughly obeys normal distribution and its standard deviation is determined by the slew time of the victim along with the number of aggressor segments. The second is crosstalk delay normalized by the original delay without crosstalk, /spl Delta/t/sub pd//t/sub pd/. The /spl Delta/t/sub pd//t/sub pd/ is equal to 2*CPR at the maximum, and CPR on average, regardless of victim length. The two metrics in conjunction with empirical slew distribution allows us to set the appropriate crosstalk delay budget, at the prelayout stage, for reducing the possibility of the crosstalk violation found in the postlayout verification process.

[1]  Chung Laung Liu,et al.  Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment , 1989, JACM.

[2]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[3]  C. Douglas Locke,et al.  Building a predictable avionics platform in Ada: a case study , 1991, [1991] Proceedings Twelfth Real-Time Systems Symposium.

[4]  D. L. Rude Statistical method of noise estimation in a synchronous system , 1993, Proceedings of IEEE Electrical Performance of Electronic Packaging.

[5]  D. L. Rude Statistical method of noise estimation in a synchronous system , 1994 .

[6]  A.L. Sangiovanni-Vincentelli,et al.  Techniques For Crosstalk Avoidance In The Physical Design Of High-performance Digital Systems , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[7]  Alberto L. Sangiovanni-Vincentelli,et al.  Techniques for crosstalk avoidance in the physical design of high-performance digital systems , 1994, ICCAD.

[8]  F. Frances Yao,et al.  A scheduling model for reduced CPU energy , 1995, Proceedings of IEEE 36th Annual Foundations of Computer Science.

[9]  Heonshik Shin,et al.  Visual assessment of a real-time system design: a case study on a CNC controller , 1996, 17th IEEE Real-Time Systems Symposium.

[10]  Anirudh Devgan Efficient coupled noise estimation for on-chip interconnects , 1997, ICCAD 1997.

[11]  Lawrence T. Pileggi,et al.  Calculating worst-case gate delays due to dominant capacitance coupling , 1997, DAC.

[12]  Miodrag Potkonjak,et al.  Synthesis techniques for low-power hard real-time systems on variable voltage processors , 1998, Proceedings 19th IEEE Real-Time Systems Symposium (Cat. No.98CB36279).

[13]  P.D. Gross,et al.  Determination of worst-case aggressor alignment for delay calculation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[14]  Hiroto Yasuura,et al.  Voltage scheduling problem for dynamically variable voltage processors , 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

[15]  Kurt Keutzer,et al.  Towards true crosstalk noise analysis , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[16]  G. De Micheli,et al.  Crosstalk delay analysis using relative window method , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

[17]  Kurt Keutzer,et al.  Miller factor for gate-level coupling delay calculation , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[18]  Peivand F. Tehrani,et al.  Deep sub-micron static timing analysis in presence of crosstalk , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[19]  Matthias Ringe,et al.  Static timing analysis taking crosstallk into account , 2000, DATE '00.

[20]  Andrew B. Kahng,et al.  On switch factor based analysis of coupled RC interconnects , 2000, Proceedings 37th Design Automation Conference.

[21]  Ibrahim N. Hajj,et al.  An analytical model for delay and crosstalk estimation with application to decoupling , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[22]  Primo Scandolara,et al.  Crosstalk aware static timing analysis: a two step approach , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

[23]  Malgorzata Marek-Sadowska,et al.  Worst Delay Estimation in Crosstalk Aware Static Timing Analysis , 2000, ICCD.

[24]  T. Sakurai,et al.  Run-time voltage hopping for low-power real-time systems , 2000, Proceedings 37th Design Automation Conference.

[25]  Sachin S. Sapatnekar,et al.  Capturing the effect of crosstalk on delay , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

[26]  Thomas D. Burd,et al.  Design issues for Dynamic Voltage Scaling , 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

[27]  E. Barke,et al.  Static timing analysis taking crosstalk into account , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

[28]  H. Sato,et al.  An efficient method of applying hot-carrier reliability simulation to logic design , 2001, Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No.01CH37169).

[29]  Hiroto Yasuura,et al.  Software Energy Reduction Techniques for Variable-Voltage Processors , 2001, IEEE Des. Test Comput..

[30]  Dongkun Shin,et al.  Intra-Task Voltage Scheduling for Low-Energy, Hard Real-Time Applications , 2001, IEEE Des. Test Comput..

[31]  Trevor Mudge,et al.  Dynamic voltage scaling on a low-power microprocessor , 2001 .

[32]  Chaitali Chakrabarti,et al.  Variable voltage task scheduling algorithms for minimizing energy , 2001, ISLPED '01.

[33]  Lama H. Chandrasena,et al.  An energy efficient rate selection algorithm for voltage quantized dynamic voltage scaling , 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

[34]  X. Hu,et al.  Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[35]  Yu Cao,et al.  Efficient generation of delay change curves for noise-aware static timing analysis , 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

[36]  Rajendran Panda,et al.  Early probabilistic noise estimation for capacitively coupled interconnects , 2002, SLIP '02.

[37]  Sang Lyul Min,et al.  Dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using slack time analysis , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[38]  David Blaauw,et al.  Estimation of signal arrival times in the presence of delay noise , 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

[39]  Rami G. Melhem,et al.  Collaborative operating system and compiler power management for real-time applications , 2003, The 9th IEEE Real-Time and Embedded Technology and Applications Symposium, 2003. Proceedings..

[40]  Rajendran Panda,et al.  Signal integrity management in an SoC physical design flow , 2003, ISPD '03.

[41]  Ulrich Kremer,et al.  The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction , 2003, PLDI '03.

[42]  Masanori Hashimoto,et al.  Capturing crosstalk-induced waveform for accurate static timing analysis , 2003, ISPD '03.

[43]  Taewhan Kim,et al.  Optimal voltage allocation techniques for dynamically variable voltage processors , 2003, DAC '03.

[44]  Ragunathan Rajkumar,et al.  Practical voltage-scaling for fixed-priority RT-systems , 2003, The 9th IEEE Real-Time and Embedded Technology and Applications Symposium, 2003. Proceedings..

[45]  Bren Christopher Mochocki,et al.  Voltage Scheduling Techniques for Dynamic Voltage Scaling Processors with Practical Limitations , 2003 .

[46]  Jae-Seok Yang,et al.  Elimination of false aggressors using the functional relationship for full-chip crosstalk analysis , 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

[47]  Krishnendu Chakrabarty,et al.  Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems , 2003, ICCAD 2003.

[48]  Rami G. Melhem,et al.  Power-aware scheduling for periodic real-time tasks , 2004, IEEE Transactions on Computers.

[49]  Ying Zhang,et al.  Task feasibility analysis and dynamic voltage scaling in fault-tolerant real-time embedded systems , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.