System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip

The performance of multiprocessor systems, such as chip multiprocessors (CMPs), is determined not only by individual processor performance, but also by how efficiently the processors collaborate with one another. It is the communication architecture that determines the collaboration efficiency on the hardware side. Optical networks-on-chip (ONoCs) are emerging communication architectures that can potentially offer ultra-high communication bandwidth and low latency to multiprocessor systems. Thermal sensitivity is an intrinsic characteristic of photonic devices used by ONoCs as well as a potential issue. This paper systematically modeled and quantitatively analyzed the thermal effects in ONoCs. We used an 8 × 8 mesh-based ONoC as a case study and evaluated the impacts of thermal effects in the average power efficiency for real MPSoC applications. We revealed three important factors regarding ONoC power efficiency under temperature variations, and proposed several techniques to reduce the temperature sensitivity of ONoCs. These techniques include the optimal initial setting of microresonator resonant wavelength, increasing the 3-dB bandwidth of optical switching elements by parallel coupling multiple microresonators, and the use of passive-routing optical router Crux to minimize the number of switching stages in mesh-based ONoCs. We gave a mathematical analysis of periodically parallel coupling of multiple microresonators and show that the 3-dB bandwidth of optical switching elements can be widened nearly linearly with the ring number. Evaluation results for different real MPSoC applications show that, on the basis of thermal tuning, the optimal device setting improves the average power efficiency by 54% to 1.2 pJ/bit when chip temperature reaches 85 °C. The findings in this paper can help support the further development of this emerging technology.

[1]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[2]  R. Michalzik,et al.  Operating Principles of VCSELs , 2003 .

[3]  Wei Zhang,et al.  Crosstalk noise and bit error rate analysis for optical network-on-chip , 2010, Design Automation Conference.

[4]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[5]  C. Fonstad,et al.  Full recess integration of small diameter low threshold VCSELs within Si-CMOS ICs. , 2008, Optics express.

[6]  Jason T. S. Liao,et al.  Optical I/O technology for tera-scale computing , 2009, ISSCC 2009.

[7]  Wei Huang,et al.  HotSpot—A Chip and Package Compact Thermal Modeling Methodology for VLSI Design , 2007 .

[8]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[9]  Ming C. Wu,et al.  Variable bandwidth of dynamic add-drop filters based on coupling-controlled microdisk resonators. , 2006, Optics letters.

[10]  Fabien Mieyeville,et al.  System Level Assessment of an Optical NoC in an MPSoC Platform , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[11]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[12]  Maurizio Esposito Montefusco,et al.  Temperature dependence analysis of the thermo-optic effect in silicon by single and double oscillator models , 2000 .

[13]  Grégory Pandraud,et al.  Miniature 10 kHz thermo-optic delay line in silicon. , 2010, Optics letters.

[14]  Winnie N. Ye,et al.  Athermal Silicon Ring Resonators , 2010 .

[15]  Patrick Jaenen,et al.  Linear and Nonlinear Nanophotonic Devices Based on Silicon-on-Insulator Wire Waveguides , 2006 .

[16]  Ray T. Chen,et al.  O-Router: An optical routing framework for low power on-chip silicon nano-photonic integration , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[17]  T. Baehr‐Jones,et al.  Analysis of the tuning sensitivity of silicon-on-insulator optical ring resonators , 2005, Journal of Lightwave Technology.

[18]  M. Horowitz,et al.  A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[19]  M. Iyengar,et al.  Analytical Modeling For Prediction of Hot Spot Chip Junction Temperature for Electronics Cooling Applications , 2006, Thermal and Thermomechanical Proceedings 10th Intersociety Conference on Phenomena in Electronics Systems, 2006. ITHERM 2006..

[20]  Wei Zhang,et al.  Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[21]  Ian O'Connor,et al.  System level assessment of an optical NoC in an MPSoC platform , 2007 .

[22]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[23]  S. Koester,et al.  Temperature-Dependent Analysis of Ge-on-SOI Photodetectors and Receivers , 2006, 3rd IEEE International Conference on Group IV Photonics, 2006..

[24]  Chen Chen,et al.  Temperature Analysis of Threshold Current in Infrared Vertical-Cavity Surface-Emitting Lasers , 2006, IEEE Journal of Quantum Electronics.

[25]  Alyssa B. Apsel,et al.  Analysis of challenges for on-chip optical interconnects , 2009, GLSVLSI '09.

[26]  Vaidehee Padgaonkar,et al.  Thermal Effects in Silicon Based Resonant Cavity Devices , 2004 .

[27]  S. Xiao,et al.  Multiple-channel silicon micro-resonator based filters for WDM applications. , 2007, Optics express.

[28]  Y. Vlasov,et al.  Losses in single-mode silicon-on-insulator strip waveguides and bends. , 2004, Optics express.

[29]  Luca Benini,et al.  Networks on chip: a new paradigm for systems on chip design , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[30]  F. Gan,et al.  Maximizing the Thermo-Optic Tuning Range of Silicon Photonic Structures , 2007, 2007 Photonics in Switching.

[31]  Xiang Zhang,et al.  On-Chip photonic interconnects for scalable multi-core architectures , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[32]  Gyungock Kim,et al.  Temperature Dependence of Silicon Nanophotonic Ring Resonator With a Polymeric Overlayer , 2007, Journal of Lightwave Technology.

[33]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[34]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[35]  S. Mogg,et al.  Temperature sensitivity of the threshold current of long-wavelength InGaAs-GaAs VCSELs with large gain-cavity detuning , 2004, IEEE Journal of Quantum Electronics.

[36]  Wei Zhang,et al.  A Hierarchical Hybrid Optical-Electronic Network-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[37]  E. Alon,et al.  A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. , 2010, Optics express.

[38]  Lin Yang,et al.  Four-channel reconfigurable optical add-drop multiplexer based on photonic wire waveguide. , 2009, Optics express.

[39]  G. Masini,et al.  A Four-Channel, 10 Gbps Monolithic Optical Receiver In 130nm CMOS With Integrated Ge Waveguide Photodetectors , 2007, OFC/NFOEC 2007 - 2007 Conference on Optical Fiber Communication and the National Fiber Optic Engineers Conference.

[40]  S. Chu,et al.  Filter synthesis for periodically coupled microring resonators. , 2000, Optics letters.

[41]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[42]  S. Xiao,et al.  Modeling and measurement of losses in silicon-on-insulator resonators and bends. , 2007, Optics express.

[43]  S. Xiao,et al.  Compact silicon microring resonators with ultra-low propagation loss in the C band. , 2007, Optics express.

[44]  Minghao Qi,et al.  Ultralow-Loss Compact Silicon Microring Resonators , 2007, LEOS 2007 - IEEE Lasers and Electro-Optics Society Annual Meeting Conference Proceedings.

[45]  Rajeev J Ram,et al.  Low Power Thermal Tuning of Second-order Microring Resonators , 2007, 2007 Conference on Lasers and Electro-Optics (CLEO).

[46]  H. Haus,et al.  Microring resonator channel dropping filters , 1997 .

[47]  Alyssa B. Apsel,et al.  On-Chip Optical Technology in Future Bus-Based Multicore Designs , 2007, IEEE Micro.

[48]  Wei Zhang,et al.  A NoC Traffic Suite Based on Real Applications , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[49]  Xi Chen,et al.  Reliability Modeling and Management of Nanophotonic On-Chip Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[50]  Jung Ho Ahn,et al.  A nanophotonic interconnect for high-performance many-core computation , 2008 .

[51]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[52]  O. Dosunmu,et al.  Performance of Ge-on-Si p-i-n Photodetectors for Standard Receiver Modules , 2006 .

[53]  Benjamin G. Lee,et al.  Transmission of high-data-rate optical signals through a micrometer-scale silicon ring resonator. , 2006, Optics letters.

[54]  Nikil D. Dutt,et al.  ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip , 2008, 2008 Asia and South Pacific Design Automation Conference.

[55]  Sheldon X.-D. Tan,et al.  Architecture-Level Thermal Characterization for Multicore Microprocessors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[56]  Wei Zhang,et al.  A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.