Transparent acceleration of data dependent instructions for general purpose processors
暂无分享,去创建一个
[1] Howard Falk,et al. Decisive Aspects in the Evolution of Microprocessors , 2004, Proc. IEEE.
[2] Erik R. Altman,et al. Daisy: Dynamic Compilation For 10o?40 Architectural Compatibility , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[3] Kenneth C. Yeager. The Mips R10000 superscalar microprocessor , 1996, IEEE Micro.
[4] Frank Vahid,et al. Energy Advantages of Microprocessor Platforms with On-Chip Configurable Logic , 2002, IEEE Des. Test Comput..
[5] Scott Hauck,et al. Reconfigurable computing: a survey of systems and software , 2002, CSUR.
[6] K. Ebcioglu,et al. Daisy: Dynamic Compilation For 10o?40 Architectural Compatibility , 1997, Conference Proceedings. The 24th Annual International Symposium on Computer Architecture.
[7] Scott Hauck,et al. The Chimaera reconfigurable functional unit , 1997, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] Trevor Mudge,et al. MiBench: A free, commercially representative embedded benchmark suite , 2001 .
[9] Antonio González,et al. Trace-level reuse , 1999, Proceedings of the 1999 International Conference on Parallel Processing.
[10] Fadi J. Kurdahi,et al. A compiler framework for mapping applications to a coarse-grained reconfigurable computer architecture , 2001, CASES '01.
[11] Scott Mahlke,et al. Automatically generating custom instruction set extensions , 2002 .
[12] David W. Wall,et al. Limits of instruction-level parallelism , 1991, ASPLOS IV.
[13] Frank Vahid,et al. Dynamic hardware/software partitioning: a first approach , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[14] Michael Gschwind,et al. Dynamic and Transparent Binary Translation , 2000, Computer.
[15] Luigi Carro,et al. Dynamic reconfiguration with binary translation: breaking the ILP barrier with software compatibility , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[16] Jean-Luc Gaudiot,et al. SMT Layout Overhead and Scalability , 2002, IEEE Trans. Parallel Distributed Syst..