A 1 V 46 ns 16 Mb SOI-DRAM with body control technique

Low-voltage and low-power DRAMs of appropriate capacity are required for portable systems such as portable PCs and Personal Digital Assistants (PDAs). Though a 1.2 V 49 ns bulk-DRAM has been reported, still lower voltage operation is difficult for bulk-DRAMs, due to the back bias effect and large junction capacitance. SOI devices have several advantages over bulk devices, such as small subthreshold swing (S-factor), elimination of the back bias effect, and small junction capacitance. To utilize these advantages, many SOI-DRAM studies and proposals have been made. The basic operation of the SOI-DRAM at 2.3 V has been examined using an experimental 64 kb SOI-DRAM, and a 3 V 50 ns 16 Mb SOI-DRAM has been also reported. Here the authors present a 1 V 46 ns 16 Mb SOI-DRAM which uses a 0.5 /spl mu/m CMOS/SIMOX process. To accelerate low-voltage speed, a body-pulsed sense amplifier (BPS) and body-driven equalizer (BDEQ) are used. The conventional body-control technique uses partially-depleted (PD) transistors. In contrast, fully-depleted (FD) transistors are used to reduce leakage current in the off-state.

[1]  M. Haond,et al.  Rounded edge mesa for submicron SOI CMOS process , 1990, ESSDERC '90: 20th European Solid State Device Research Conference.

[2]  J. Colinge Silicon-on-Insulator Technology: Materials to VLSI , 1991 .

[3]  J. Colinge Silicon-on-Insulator Technology , 1991 .

[4]  M. Inuishi,et al.  Novel NICE (nitrogen implantation into CMOS gate electrode and source-drain) structure for high reliability and high performance 0.25 /spl mu/m dual gate CMOS , 1993, Proceedings of IEEE International Electron Devices Meeting.

[5]  K. Arimoto,et al.  A well-synchronized sensing/equalizing method for sub-1.0 V operating advanced DRAMs , 1993, Symposium 1993 on VLSI Circuits.

[6]  Hideto Hidaka,et al.  ULSI DRAM/SIMOX with stacked capacitor cells for low-voltage operation , 1993, Proceedings of IEEE International Electron Devices Meeting.

[7]  Chenming Hu,et al.  A dynamic threshold voltage MOSFET (DTMOS) for ultra-low voltage operation , 1994, Proceedings of 1994 IEEE International Electron Devices Meeting.

[8]  Hideto Hidaka,et al.  An SOI-DRAM with wide operating voltage range by CMOS/SIMOX technology , 1994 .

[9]  Hideto Hidaka,et al.  A well-synchronized sensing/equalizing method for sub-1.0-V operating advanced DRAMs , 1994 .

[10]  Masaki Tsukude,et al.  Low voltage circuit design techniques for battery-operated and/or giga-scale DRAMs , 1995 .

[11]  Kinam Kim,et al.  A high performance 16M DRAM on a thin film SOI , 1995, 1995 Symposium on VLSI Technology. Digest of Technical Papers.

[12]  M. Harada,et al.  A 0.5 V SIMOX-MTCMOS circuit with 200 ps logic gate , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[13]  Masaki Tsukude,et al.  SOI-DRAM circuit technologies for low power high speed multigiga scale memories , 1996 .

[14]  H. Miyoshi,et al.  16 Mb DRAM/SOI technologies for sub-1 V operation , 1996, International Electron Devices Meeting. Technical Digest.

[15]  T. Fujita,et al.  A 0.9 V 150 MHz 10 mW 4 mm/sup 2/ 2-D discrete cosine transform core processor with variable-threshold-voltage scheme , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.