Content-Aware Write Reduction Mechanism of 3D Stacked Phase-Change RAM Based Frame Store in H.264 Video Codec System

[1]  Yuan Xie,et al.  Modeling, Architecture, and Applications for Emerging Memory Technologies , 2011, IEEE Design & Test of Computers.

[2]  Seung-Yun Lee,et al.  A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme , 2007, 2007 IEEE International Symposium on Circuits and Systems.

[3]  Yuan Xie,et al.  Design space exploration for 3D architectures , 2006, JETC.

[4]  G. Bjontegaard,et al.  Calculation of Average PSNR Differences between RD-curves , 2001 .

[5]  A. Oppenheim,et al.  Effects of finite register length in digital filtering and the fast Fourier transform , 1972 .

[6]  R. Bez,et al.  Current status of chalcogenide phase change memory , 2005, 63rd Device Research Conference Digest, 2005. DRC '05..

[7]  Chung Lam Cell Design Considerations for Phase Change Memory as a Universal Memory , 2008, 2008 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA).

[8]  Vijayalakshmi Srinivasan,et al.  Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.

[9]  Liang-Gee Chen,et al.  A 212 MPixels/s 4096 $\times$ 2160p Multiview Video Encoder Chip for 3D/Quad Full HDTV Applications , 2010, IEEE Journal of Solid-State Circuits.

[10]  Sunggu Lee,et al.  Optimizing Video Application Design for Phase-Change RAM-Based Main Memory , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Bernd Girod,et al.  The Efficiency of Motion-Compensating Prediction for Hybrid Coding of Video Sequences , 1987, IEEE J. Sel. Areas Commun..

[12]  F. Bossen,et al.  Common test conditions and software reference configurations , 2010 .

[13]  Jun Yang,et al.  A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.

[14]  Naehyuck Chang,et al.  Energy- and endurance-aware design of phase change memory caches , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[15]  Jiun-In Guo,et al.  A 7mW-to-183mW Dynamic Quality-Scalable H.264 Video Encoder Chip , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[16]  Liang-Gee Chen,et al.  Algorithm and Architecture Design of Power-Oriented H.264/AVC Baseline Profile Encoder for Portable Devices , 2009, IEEE Transactions on Circuits and Systems for Video Technology.

[17]  Masahiko Yoshimoto,et al.  Power and Memory Bandwidth Reduction of an H.264/AVC HDTV Decoder LSI with Elastic Pipeline Architecture , 2007, 2007 Asia and South Pacific Design Automation Conference.

[18]  Onur Mutlu,et al.  Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.

[19]  Satoshi Goto,et al.  Motion Estimation Optimization for H.264/AVC Using Source Image Edge Features , 2009, IEEE Transactions on Circuits and Systems for Video Technology.

[20]  Yuan Xie,et al.  PCRAMsim: System-level performance, energy, and area modeling for Phase-Change RAM , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[21]  Yuan Xie,et al.  A frequent-value based PRAM memory architecture , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[22]  Liang-Gee Chen,et al.  An H.264/AVC scalable extension and high profile HDTV 1080p encoder chip , 2008, 2008 IEEE Symposium on VLSI Circuits.

[23]  T. Berger Rate-Distortion Theory , 2003 .

[24]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[25]  Sang-Heon Lee,et al.  Low latency variable length coding scheme for frame memory recompression , 2010, 2010 IEEE International Conference on Multimedia and Expo.

[26]  Xiaoxia Wu,et al.  Hybrid cache architecture with disparate memory technologies , 2009, ISCA '09.