A 0.1-1.5 GHz 8-bit inverter-based digital-to-phase converter using harmonic rejection

In this paper, a 0.1-1.5 GHz 8-bit inverter-based digital-to-phase converter (DPC) is proposed. Conventional inverter-based DPC suffers from poor linearity and limited output frequency range. To mitigate the linearity problem and extend the output frequency range, we propose to use harmonic rejection (HR) filter to cancel out the 3rd- and 5th-order harmonics of the phase interpolated signal. The residual INL and DNL can be further eliminated by nonlinear interpolation technique. Designed and fabricated in 65-nm CMOS technology, the DPC demonstrates a maximum INL and DNL of 2.18 and 0.89 LSB while consumes a power of 4.3 mW and occupies 0.06 mm2 area.

[1]  Chih-Kong Ken Yang,et al.  Technique to Reduce the Resolution Requirement of Digitally Controlled Oscillators for Digital PLLs , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.

[2]  F. Ellinger,et al.  A 25-Gb/s CDR in 90-nm CMOS for High-Density Interconnects , 2006, IEEE Journal of Solid-State Circuits.

[3]  Yong Liu,et al.  A 19Gb/s serial link receiver with both 4-tap FFE and 5-tap DFE functions in 45nm SOI CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[4]  H. Noguchi,et al.  A 40-Gb/s CDR Circuit With Adaptive Decision-Point Control Based on Eye-Opening Monitor Feedback , 2008, IEEE Journal of Solid-State Circuits.

[5]  Elad Alon,et al.  Design of high-speed wireline transceivers for backplane communications in 28nm CMOS , 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

[6]  Jaeha Kim,et al.  A 5-Gbps 1.7 pJ/bit ditherless CDR with optimal phase interval detection , 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

[7]  Martin L. Schmatz,et al.  A 5.75 to 44 Gb/s Quarter Rate CDR With Data Rate Selection in 90 nm Bulk CMOS , 2009, IEEE Journal of Solid-State Circuits.

[8]  Chih-Kong Ken Yang,et al.  A 32-to-48Gb/s serializing transmitter using multiphase sampling in 65nm CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[9]  Jad Rizk,et al.  A 12-Gb/s transceiver in 32-nm bulk CMOS , 2009, 2009 Symposium on VLSI Circuits.

[10]  M.Y. He,et al.  A CMOS mixed-signal clock and data recovery circuit for OIF CEI-6G+ backplane transceiver , 2006, IEEE Journal of Solid-State Circuits.

[11]  A. Rylyakov,et al.  A 10-Gb/s two-dimensional eye-opening monitor in 0.13-/spl mu/m standard CMOS , 2005, IEEE Journal of Solid-State Circuits.

[12]  Li Lin,et al.  A 1.75 GHz highly-integrated narrow-band CMOS transmitter with harmonic-rejection mixers , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[13]  Yoshiyasu Doi,et al.  A 3 Watt 39.8–44.6 Gb/s Dual-Mode SFI5.2 SerDes Chip Set in 65 nm CMOS , 2010, IEEE Journal of Solid-State Circuits.

[14]  Hua Wang,et al.  A Wideband CMOS Linear Digital Phase Rotator , 2007, 2007 IEEE Custom Integrated Circuits Conference.

[15]  Chih-Kong Ken Yang,et al.  A multi-phase multi-frequency clock generator using superharmonic injection locked multipath ring oscillators as frequency dividers , 2012, 2012 IEEE Asian Solid State Circuits Conference (A-SSCC).

[16]  J. Lundberg,et al.  An All-Digital Phase-Locked Loop with 50-Cycle Lock Time Suitable for High-Performance Microprocessors(Special Issue on the 1994 VLSI Circuits Symposium) , 1995 .

[17]  Chih-Kong Ken Yang,et al.  A 0.1–1.5 GHz 8-bit Inverter-Based Digital-to-Phase Converter Using Harmonic Rejection , 2012, IEEE Journal of Solid-State Circuits.

[18]  Un-Ku Moon,et al.  A Sub-Picosecond Resolution 0.5–1.5 GHz Digital-to-Phase Converter , 2008, IEEE Journal of Solid-State Circuits.

[19]  S. Gambini,et al.  A 90 nm CMOS Low-Power 60 GHz Transceiver With Integrated Baseband Circuitry , 2009, IEEE Journal of Solid-State Circuits.

[20]  Stefanos Sidiropoulos,et al.  A semidigital dual delay-locked loop , 1997, IEEE J. Solid State Circuits.

[21]  M. E. Valkenburg,et al.  Design of Analog Filters , 2001 .

[22]  Nicola Da Dalt A design-oriented study of the nonlinear dynamics of digital bang-bang PLLs , 2005, IEEE Trans. Circuits Syst. I Regul. Pap..

[23]  Wei-Chih Chen,et al.  A 5Gb/s low-power PCI express/USB3.0 ready PHY in 40nm CMOS technology with high-jitter immunity , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[24]  Elad Alon,et al.  A wide common-mode fully-adaptive multi-standard 12.5Gb/s backplane transceiver in 28nm CMOS , 2012, 2012 Symposium on VLSI Circuits (VLSIC).

[25]  J. Sonntag,et al.  A monolithic CMOS 10 MHz DPLL for burst-mode data retiming , 1990, 1990 37th IEEE International Conference on Solid-State Circuits.

[26]  R. Senthinathan,et al.  A 33-mW 8-Gb/s CMOS clock multiplier and CDR for highly integrated I/Os , 2004, IEEE Journal of Solid-State Circuits.

[27]  Chih-Kong Ken Yang,et al.  Analysis and Design of Superharmonic Injection-Locked Multipath Ring Oscillators , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

[28]  Yong Liu,et al.  A 19-Gb/s Serial Link Receiver With Both 4-Tap FFE and 5-Tap DFE Functions in 45-nm SOI CMOS , 2012, IEEE Journal of Solid-State Circuits.

[29]  Chih-Kong Ken Yang,et al.  Phase correction of a resonant clocking system using resonant interpolators , 2008, 2008 IEEE Symposium on VLSI Circuits.

[30]  Jri Lee,et al.  A Fully-Integrated 40-Gb/s Transceiver in 65-nm CMOS Technology , 2012, IEEE Journal of Solid-State Circuits.

[31]  Jieh-Tsorng Wu,et al.  A 125MHz 8b digital-to-phase converter , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..