A DVS-based pipelined reconfigurable instruction memory
暂无分享,去创建一个
[1] Yiran Chen,et al. VOSCH: Voltage scaled cache hierarchies , 2007, 2007 25th International Conference on Computer Design.
[2] Todd M. Austin,et al. The SimpleScalar tool set, version 2.0 , 1997, CARN.
[3] Margaret Martonosi,et al. Cache decay: exploiting generational behavior to reduce cache leakage power , 2001, ISCA 2001.
[4] David Blaauw,et al. Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction , 2002, MICRO.
[5] Anantha P. Chandrakasan,et al. Low Power Digital CMOS Design , 1995 .
[6] Margaret Martonosi,et al. Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[7] Rajesh Gupta,et al. Profile-based dynamic voltage scheduling using program checkpoints , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.
[8] David H. Albonesi,et al. Selective cache ways: on-demand cache resource allocation , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[9] Seongsoo Lee,et al. Run-time voltage hopping for low-power real-time systems , 2000, DAC.
[10] Kaushik Roy,et al. Gated-Vdd: a circuit technique to reduce leakage in deep-submicron cache memories , 2000, ISLPED '00.
[11] James E. Smith,et al. Early-Stage Definition of LPX: A Low Power Issue-Execute Processor , 2002, PACS.
[12] Michael S. Hsiao,et al. Compiler-directed dynamic voltage/frequency scheduling for energy reduction in microprocessors , 2001, ISLPED '01.
[13] Frank Vahid,et al. A highly configurable cache architecture for embedded systems , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[14] Norman P. Jouppi,et al. CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.
[15] Weng-Fai Wong,et al. DRIM : A Low Power Dynamically Reconfigurable Instruction Memory Hierarchy for Embedded Systems , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[16] Richard T. Witek,et al. A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[17] Yanbing Li,et al. Hardware-software co-design of embedded reconfigurable architectures , 2000, DAC.
[18] Weng-Fai Wong,et al. DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems , 2007 .
[19] Pradip Bose,et al. Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).