Estimation of energy consumption in machine learning

Energy consumption has been widely studied in the computer architecture field for decades. While the adoption of energy as a metric in machine learning is emerging, the majority of research is stil ...

[1]  Geoff Holmes,et al.  MOA: Massive Online Analysis , 2010, J. Mach. Learn. Res..

[2]  Luca Antiga,et al.  Automatic differentiation in PyTorch , 2017 .

[3]  Margaret Martonosi,et al.  Run-time power estimation in high performance microprocessors , 2001, ISLPED '01.

[4]  Farinaz Koushanfar,et al.  DeLight: Adding Energy Dimension To Deep Neural Networks , 2016, ISLPED.

[5]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[6]  M. Omizo,et al.  Modeling , 1983, Encyclopedic Dictionary of Archaeology.

[7]  Neena Imam,et al.  Understanding GPU Power , 2016, ACM Comput. Surv..

[8]  Mary Jane Irwin,et al.  Energy characterization based on clustering , 1996, DAC '96.

[9]  Graham D. Riley,et al.  Fine-grained energy profiling for deep convolutional neural networks on the Jetson TX1 , 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

[10]  Margaret Martonosi,et al.  Wattch: a framework for architectural-level power analysis and optimizations , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[11]  Michael Gschwind,et al.  New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors , 2003, IBM J. Res. Dev..

[12]  Håkan Grahn,et al.  Identification of Energy Hotspots: A Case Study of the Very Fast Decision Tree , 2017, GPC.

[13]  Trevor Darrell,et al.  Caffe: Convolutional Architecture for Fast Feature Embedding , 2014, ACM Multimedia.

[14]  David M. Brooks,et al.  Accurate and efficient regression modeling for microarchitectural performance and power prediction , 2006, ASPLOS XII.

[15]  Vittorio Zaccaria,et al.  An instruction-level energy model for embedded VLIW architectures , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Crefeda Faviola Rodrigues,et al.  SyNERGY: An energy measurement and prediction framework for Convolutional Neural Networks on Jetson TX1 , 2018 .

[17]  Fei-Fei Li,et al.  ImageNet: A large-scale hierarchical image database , 2009, 2009 IEEE Conference on Computer Vision and Pattern Recognition.

[18]  Andreas Sembrant,et al.  Power-Sleuth: A Tool for Investigating Your Program's Power Behavior , 2012, 2012 IEEE 20th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

[19]  James R. Larus,et al.  Wisconsin Architectural Research Tool Set , 1993, CARN.

[20]  Gilberto Contreras,et al.  Power prediction for Intel XScale processors using performance monitoring unit events , 2005 .

[21]  Jerzy W. Rozenblit,et al.  A new framework for power estimation of embedded systems , 2005, Computer.

[22]  Sally A. McKee,et al.  Real time power estimation and thread scheduling via performance counters , 2009, CARN.

[23]  Sally A. McKee,et al.  A Methodology for Modeling Dynamic and Static Power Consumption for Multicore Processors , 2016, 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

[24]  Geoff V. Merrett,et al.  Accurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUs , 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[25]  Hermann Härtig,et al.  Measuring energy consumption for short code paths using RAPL , 2012, PERV.

[26]  Geoff Hulten,et al.  Mining high-speed data streams , 2000, KDD '00.

[27]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[28]  David M. Brooks,et al.  Energy characterization and instruction-level energy model of Intel's Xeon Phi processor , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[29]  Karthick Rajamani,et al.  Application-Aware Power Management , 2006, 2006 IEEE International Symposium on Workload Characterization.

[30]  Margaret Martonosi,et al.  Computer Architecture Techniques for Power-Efficiency , 2008, Computer Architecture Techniques for Power-Efficiency.

[31]  Tsuyoshi Murata,et al.  {m , 1934, ACML.

[32]  Diana Marculescu,et al.  NeuralPower: Predict and Deploy Energy-Efficient Convolutional Neural Networks , 2017, ArXiv.

[33]  Feng Xia,et al.  A Review on mobile application energy profiling: Taxonomy, state-of-the-art, and open research issues , 2015, J. Netw. Comput. Appl..

[34]  Yiran Chen,et al.  Low-Power Image Recognition Challenge , 2018, AI Mag..

[35]  Ananta Tiwari,et al.  Characterizing the Performance-Energy Tradeoff of Small ARM Cores in HPC Computation , 2014, Euro-Par.

[36]  Vivienne Sze,et al.  Designing Energy-Efficient Convolutional Neural Networks Using Energy-Aware Pruning , 2016, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[37]  Rizos Sakellariou,et al.  A Survey of Power and Energy Predictive Models in HPC Systems and Applications , 2017, ACM Comput. Surv..

[38]  Frank Bellosa,et al.  Event-Driven Energy Accounting for Dynamic Thermal Management , 2002 .

[39]  William Jalby,et al.  An Incremental Methodology for Energy Measurement and Modeling , 2017, ICPE.

[40]  Ricard Gavaldà,et al.  Adaptive Learning from Evolving Data Streams , 2009, IDA.

[41]  Osman S. Unsal,et al.  System-level power estimation tool for embedded processor based platforms , 2014, RAPIDO '14.

[42]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[43]  Mahmut T. Kandemir,et al.  The design and use of simplePower: a cycle-accurate energy estimation tool , 2000, Proceedings 37th Design Automation Conference.

[44]  Nicholas D. Lane,et al.  An Early Resource Characterization of Deep Learning on Wearables, Smartphones and Internet-of-Things Devices , 2015, IoT-App@SenSys.

[45]  Matthew Mattina,et al.  SCALE-Sim: Systolic CNN Accelerator , 2018, ArXiv.

[46]  Jack J. Dongarra,et al.  Collecting Performance Data with PAPI-C , 2009, Parallel Tools Workshop.

[47]  Karthick Rajamani,et al.  IBM Research Report Online Power and Performance Estimation for Dynamic Power Management , 2006 .

[48]  Christos Kozyrakis,et al.  Full-System Power Analysis and Modeling for Server Environments , 2006 .

[49]  Takayasu Sakurai,et al.  Analysis and future trend of short-circuit power , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[50]  Håkan Grahn,et al.  How to Measure Energy Consumption in Machine Learning Algorithms , 2018, Nemesis/UrbReas/SoGood/IWAISe/GDM@PKDD/ECML.

[51]  Anup Das,et al.  Run-time power estimation for mobile and embedded asymmetric multi-core CPUs , 2015 .

[52]  Geoff Hulten,et al.  Mining time-changing data streams , 2001, KDD '01.

[53]  David A. Patterson,et al.  In-datacenter performance analysis of a tensor processing unit , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

[54]  Sally A. McKee,et al.  Techniques to Measure, Model, and Manage Power , 2012, Adv. Comput..

[55]  Matti Siekkinen,et al.  Modeling, Profiling, and Debugging the Energy Consumption of Mobile Devices , 2015, ACM Comput. Surv..

[56]  Eduard Ayguadé,et al.  Decomposable and responsive power models for multicore processors using performance counters , 2010, ICS '10.

[57]  Collin McCurdy,et al.  The Scalable Heterogeneous Computing (SHOC) benchmark suite , 2010, GPGPU-3.

[58]  Sergey Ioffe,et al.  Rethinking the Inception Architecture for Computer Vision , 2015, 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

[59]  Sally A. McKee,et al.  Portable, scalable, per-core power estimation for intelligent resource management , 2010, International Conference on Green Computing.

[60]  Sharad Malik,et al.  Instruction level power analysis and optimization of software , 1996, Proceedings of 9th International Conference on VLSI Design.

[61]  Chaitali Chakrabarti,et al.  Memory exploration for low power, embedded systems , 1999, DAC '99.

[62]  S. Eranian Perfmon2: a flexible performance monitoring interface for Linux , 2010 .

[63]  Bo Chen,et al.  MobileNets: Efficient Convolutional Neural Networks for Mobile Vision Applications , 2017, ArXiv.

[64]  Song Han,et al.  Learning both Weights and Connections for Efficient Neural Network , 2015, NIPS.

[65]  Bo Chen,et al.  MnasNet: Platform-Aware Neural Architecture Search for Mobile , 2018, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

[66]  Diana Marculescu,et al.  HyperPower: Power- and memory-constrained hyper-parameter optimization for neural networks , 2017, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[67]  Mary Jane Irwin,et al.  System level interconnect power modeling , 1998, Proceedings Eleventh Annual IEEE International ASIC Conference (Cat. No.98TH8372).

[68]  C. Spearman The proof and measurement of association between two things. , 2015, International journal of epidemiology.

[69]  Stijn Eyerman,et al.  An Evaluation of High-Level Mechanistic Core Models , 2014, ACM Trans. Archit. Code Optim..

[70]  Vincent M. Weaver,et al.  A Validation of DRAM RAPL Power Measurements , 2016, MEMSYS.

[71]  Rahul Khanna,et al.  RAPL: Memory power estimation and capping , 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

[72]  Todd M. Austin,et al.  The SimpleScalar tool set, version 2.0 , 1997, CARN.

[73]  Margaret Martonosi,et al.  Power prediction for Intel XScale/spl reg/ processors using performance monitoring unit events , 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

[74]  Mayan Moudgill,et al.  Environment for PowerPC microarchitecture exploration , 1999, IEEE Micro.

[75]  Song Han,et al.  Deep Compression: Compressing Deep Neural Network with Pruning, Trained Quantization and Huffman Coding , 2015, ICLR.