A Hybrid 3D Interconnect With 2x Bandwidth Density Employing Orthogonal Simultaneous Bidirectional Signaling for 3D NoC

For the first time, this work presents a wireless-wireline hybrid 3D interconnect that employs orthogonal simultaneous bidirectional signaling for 3D Network-on-chip to achieve $2\times $ bandwidth density. We combine wireless near-field inductive coupling channel (NFIC) encompassing wireline through-silicon vias (TSV) to leverage orthogonal simultaneous bidirectional (SBD) signaling. This technique provides an efficient way of doubling interconnect bandwidth in the same area by means of passive wireless and wireline interconnects. The proposed hybrid 3D interconnect shows at least -70dB of NFIC-TSV isolation in the band of interest. We also present a comprehensive link analysis to derive the energy-area trade-off of an NFIC link and its fundamental performance limits. Further, we demonstrate on how equalization has the potential to decouple the fundamental energy-area tradeoff. The prototype transceiver measures a simultaneous bidirectional data and clock communication at an effective data rate of 6.6 Gb/s consuming 263 fJ/bit in 65 nm CMOS bulk process. The developed hybrid 3D interconnect architecture exhibits a $2\times $ improved link performance over state-of-the-art 3D simultaneous bidirectional links. A hybrid 3D Network-on-chip (3D NoC) implementation is demonstrated using the proposed hybrid interconnect technology and shows a 50% lower area and cost for the same energy-delay-product (EDP) over the conventional TSV based links.

[1]  D. Ditzel,et al.  Low-cost 3D chip stacking with ThruChip wireless connections , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).

[2]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[3]  Partha Pratim Pande,et al.  Energy and area efficient near field inductive coupling: A case study on 3D NoC , 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[4]  Jongsun Kim,et al.  An Energy-Efficient and High-Speed Mobile Memory I/O Interface Using Simultaneous Bi-Directional Dual (Base+RF)-Band Signaling , 2012, IEEE Journal of Solid-State Circuits.

[5]  Pawan Agarwal,et al.  Current reuse triple-band signal source for multi-band wireless network-on-chip , 2017, 2017 IEEE MTT-S International Microwave Symposium (IMS).

[6]  E. Alon,et al.  Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery , 2005, IEEE Journal of Solid-State Circuits.

[7]  Radu Marculescu,et al.  Low-latency wireless 3D NoCs via randomized shortcut chips , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Tadahiro Kuroda,et al.  A 1 TB/s 1 pJ/b 6.4 ${\rm mm}^{2}/{\rm TB/s}$ QDR Inductive-Coupling Interface Between 65-nm CMOS Logic and Emulated 100-nm DRAM , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[9]  Mau-Chung Frank Chang,et al.  A simultaneous tri-band on-chip RF-interconnect for future network-on-chip , 2009, 2009 Symposium on VLSI Circuits.

[10]  Marios C. Papaefthymiou,et al.  Resonant-Clock Design for a Power-Efficient, High-Volume x86-64 Microprocessor , 2013, IEEE Journal of Solid-State Circuits.

[11]  Joe Baylon,et al.  An Echo-Canceller-Iess NFIC- TSV Hybrid 3D Interconnect for Simultaneous Bidirectional Vertical Communication , 2018, 2018 IEEE/MTT-S International Microwave Symposium - IMS.

[12]  Tadahiro Kuroda,et al.  Analytical thruchip inductive coupling channel design optimization , 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

[13]  Thomas Toifl,et al.  A 28-Gb/s 4-Tap FFE/15-Tap DFE Serial Link Transceiver in 32-nm SOI CMOS Technology , 2012, IEEE Journal of Solid-State Circuits.

[14]  Changsik Yoo,et al.  A simultaneously bidirectional inductively coupled link in a 0.13-µm CMOS technology , 2017, Int. J. Circuit Theory Appl..

[15]  Partha Pratim Pande,et al.  Energy-efficient and robust 3D NoCs with contactless vertical links (Invited paper) , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[16]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[17]  Deukhyoun Heo,et al.  Hierarchical Design Methodology and Optimization for Proximity Communication based Contactless 3D ThruChip Interface , 2019, 2019 International 3D Systems Integration Conference (3DIC).

[18]  Christian Bienia,et al.  Benchmarking modern multiprocessors , 2011 .

[19]  Partha Pratim Pande,et al.  High-Performance and Small-Form Factor Near-Field Inductive Coupling for 3-D NoC , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Shahriar Mirabbasi,et al.  A 16-Gb/s Low-Power Inductorless Wideband Gain-Boosted Baseband Amplifier With Skewed Differential Topology for Wireless Network-on-Chip , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[21]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[22]  Thomas H. Lee,et al.  The Design of CMOS Radio-Frequency Integrated Circuits: RF CIRCUITS THROUGH THE AGES , 2003 .

[23]  Kaustav Banerjee,et al.  Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.

[24]  B.A. Wooley,et al.  An 8-Gb/s/pin simultaneously bidirectional transceiver in 0.35-/spl mu/m CMOS , 2004, IEEE Journal of Solid-State Circuits.

[25]  S. Gowda,et al.  A 10-Gb/s 5-Tap DFE/4-Tap FFE Transceiver in 90-nm CMOS Technology , 2006, IEEE Journal of Solid-State Circuits.

[26]  Luke Renaud,et al.  A Low Power Active-Passive Dual Gm-boosted W-band Oscillator for Wireless Networ -on-Chip Applications , 2018, 2018 IEEE/MTT-S International Microwave Symposium - IMS.

[27]  S.. Gondi,et al.  Equalization and Clock and Data Recovery Techniques for 10-Gb/s CMOS Serial-Link Receivers , 2007, IEEE Journal of Solid-State Circuits.

[28]  Gyung-Su Byun,et al.  A 14.4Gb/s/pin 230fJ/b/pin/mm multi-level RF-interconnect for global network-on-chip communication , 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

[29]  Deog-Kyoon Jeong,et al.  6.7 An 8Gb/s/µm FFE-Combined Crosstalk-Cancellation Scheme for HBM on Silicon Interposer with 3D-Staggered Channels , 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

[30]  Tony Tae-Hyoung Kim,et al.  A 3-Gb/s/ch Simultaneous Bidirectional Capacitive Coupling Transceiver for 3DICs , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[31]  Deukhyoun Heo,et al.  Dual-Equalization-Path Energy-Area-Efficient Near Field Inductive Coupling for Contactless 3D IC , 2019, 2019 IEEE MTT-S International Microwave Symposium (IMS).

[32]  T. Sakurai,et al.  A High-Speed Inductive-Coupling Link With Burst Transmission , 2009, IEEE Journal of Solid-State Circuits.

[33]  Samuel Palermo,et al.  A Design Methodology for Power Efficiency Optimization of High-Speed Equalized-Electrical I/O Architectures , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[34]  L. Arnaud,et al.  Electromigration behavior of 3D-IC TSV interconnects , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[35]  Elad Alon,et al.  Power analysis and optimization for high-speed I/O transceivers , 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

[36]  Gyung-Su Byun,et al.  An Energy-Efficient Mobile Memory I/O Interface Using Simultaneous Bidirectional Multilevel Dual-Band Signaling , 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

[37]  Jason Cong,et al.  An 8Gb/s/pin 4pJ/b/pin Single-T-Line dual (base+RF) band simultaneous bidirectional mobile memory I/O interface with inter-channel interference suppression , 2012, 2012 IEEE International Solid-State Circuits Conference.

[38]  Hsien-Hsin S. Lee,et al.  3D-MAPS: 3D Massively parallel processor with stacked memory , 2012, 2012 IEEE International Solid-State Circuits Conference.

[39]  K. Kondo,et al.  A 160Gb/s interface design configuration for multichip LSI , 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).