High-Performance and Small-Form Factor Near-Field Inductive Coupling for 3-D NoC

Wireless interconnects using near-field inductive coupling (NFIC) enables contactless vertical communications necessary for the design of energy efficient and robust 3-D manycore systems. However, the achievable performance, energy efficiency, bandwidth, and associated area overhead of NFICs are intertwined imposing significant design challenges and tradeoffs to explore the optimum link configuration. To address these challenges, in this paper, we propose a holistic design approach for exploring energy-efficient NFICs and target to exploit the benefits of the NFICs in the context of efficient and reliable network-on-chip (NoC) design. The proposed design framework employs statistical link analysis to select optimum NFIC-link configuration and is significantly more efficient in terms of energy efficiency and area overhead compared to the state-of-the-art counterparts. We demonstrate that 3-D NoCs incorporating NFIC-enabled links outperform through-silicon-via (TSV) counterparts. In addition, the overall reliability of TSV- and NFIC-enabled hybrid 3-D NoC is significantly better than only TSV-based NoCs in order to counteract the electromigration and workload-induced stress challenges.

[1]  Radu Marculescu,et al.  Low-latency wireless 3D NoCs via randomized shortcut chips , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[2]  Yong Liu,et al.  A compact low-power 3D I/O in 45nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[3]  Pawan Agarwal,et al.  Current reuse triple-band signal source for multi-band wireless network-on-chip , 2017, 2017 IEEE MTT-S International Microwave Symposium (IMS).

[4]  D. Ditzel,et al.  Low-cost 3D chip stacking with ThruChip wireless connections , 2014, 2014 IEEE Hot Chips 26 Symposium (HCS).

[5]  Arvind Kumar,et al.  Three-dimensional integrated circuits , 2006, IBM J. Res. Dev..

[6]  Luca Benini,et al.  Design Issues and Considerations for Low-Cost 3-D TSV IC Technology , 2010, IEEE Journal of Solid-State Circuits.

[7]  Luke Renaud,et al.  A Low Power Active-Passive Dual Gm-boosted W-band Oscillator for Wireless Networ -on-Chip Applications , 2018, 2018 IEEE/MTT-S International Microwave Symposium - IMS.

[8]  Olav Lysne,et al.  Layered routing in irregular networks , 2006, IEEE Transactions on Parallel and Distributed Systems.

[9]  Tadahiro Kuroda,et al.  A 1 TB/s 1 pJ/b 6.4 ${\rm mm}^{2}/{\rm TB/s}$ QDR Inductive-Coupling Interface Between 65-nm CMOS Logic and Emulated 100-nm DRAM , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[10]  Partha Pratim Pande,et al.  Energy and area efficient near field inductive coupling: A case study on 3D NoC , 2017, 2017 Eleventh IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[11]  L. Arnaud,et al.  Electromigration behavior of 3D-IC TSV interconnects , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[12]  TingTing Hwang,et al.  TSV Redundancy: Architecture and Design Issues in 3-D IC , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Christian Bernard,et al.  A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC , 2013, 2013 Symposium on VLSI Technology.

[14]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[15]  Gabriel H. Loh,et al.  3D-Stacked Memory Architectures for Multi-core Processors , 2008, 2008 International Symposium on Computer Architecture.

[16]  Partha Pratim Pande,et al.  Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.

[17]  Daisuke Sasaki,et al.  3D NoC with Inductive-Coupling Links for Building-Block SiPs , 2014, IEEE Transactions on Computers.

[18]  Partha Pratim Pande,et al.  Optimizing 3D NoC design for energy efficiency: A machine learning approach , 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[19]  Hannu Tenhunen,et al.  High-Performance and Fault-Tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET-Based Adaptive Monitoring Platform , 2014, IEEE Transactions on Computers.

[20]  Anoop Gupta,et al.  The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.

[21]  Mau-Chung Frank Chang,et al.  Two 10Gb/s/pin Low-Power Interconnect Methods for 3D ICs , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[22]  Kaustav Banerjee,et al.  Interconnect limits on gigascale integration (GSI) in the 21st century , 2001, Proc. IEEE.

[23]  Mehdi B. Tahoori,et al.  Electromigration-Aware Local-Via Allocation in Power/Ground TSVs of 3-D ICs , 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Stephen P. Boyd,et al.  Optimization of inductor circuits via geometric programming , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

[25]  Tadahiro Kuroda,et al.  Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[26]  Christian Bienia,et al.  Benchmarking modern multiprocessors , 2011 .

[27]  T. Sakurai,et al.  A High-Speed Inductive-Coupling Link With Burst Transmission , 2009, IEEE Journal of Solid-State Circuits.

[28]  Tony Tae-Hyoung Kim,et al.  A 3-Gb/s/ch Simultaneous Bidirectional Capacitive Coupling Transceiver for 3DICs , 2014, IEEE Transactions on Circuits and Systems II: Express Briefs.

[29]  Partha Pratim Pande,et al.  Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[30]  Partha Pratim Pande,et al.  Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip , 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Samuel Palermo,et al.  A Design Methodology for Power Efficiency Optimization of High-Speed Equalized-Electrical I/O Architectures , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[32]  Sung Kyu Lim,et al.  Monolithic 3D IC vs. TSV-based 3D IC in 14nm FinFET technology , 2016, 2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S).

[33]  R.W. Brodersen,et al.  Methods for true energy-performance optimization , 2004, IEEE Journal of Solid-State Circuits.

[34]  Vladimir Stojanovic,et al.  Optimization-based framework for simultaneous circuit-and-system design-space exploration: a high-speed link example , 2008, ICCAD 2008.