A 1.8-pJ/b, 12.5–25-Gb/s Wide Range All-Digital Clock and Data Recovery Circuit
暂无分享,去创建一个
Xin Yin | Pieter Rombouts | Guy Torfs | Johan Bauwelinck | Hannes Ramon | Bart Moeneclaey | Marijn Verbeke
[1] Xin Yin,et al. 10 Gbit/s bit interleaving CDR for low-power PON , 2012 .
[2] Martin L. Schmatz,et al. A 5.75 to 44 Gb/s Quarter Rate CDR With Data Rate Selection in 90 nm Bulk CMOS , 2009, IEEE Journal of Solid-State Circuits.
[3] Yukihiro Fujimoto,et al. A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture , 1993 .
[4] O. Moreira-Tamayo,et al. All-digital TX frequency synthesizer and discrete-time receiver for Bluetooth radio in 130-nm CMOS , 2004, IEEE Journal of Solid-State Circuits.
[5] Davide De Caro,et al. A novel high-speed sense-amplifier-based flip-flop , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[6] Tao Wang,et al. A 5 GS/s 150 mW 10 b SHA-Less Pipelined/SAR Hybrid ADC for Direct-Sampling Systems in 28 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.
[7] Sudipto Chakraborty,et al. A 1–16 Gb/s All-Digital Clock and Data Recovery With a Wideband High-Linearity Phase Interpolator , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[8] Taeho Kim,et al. An Automatic Loop Gain Control Algorithm for Bang-Bang CDRs , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[9] Deog-Kyoon Jeong,et al. A 22 to 26.5 Gb/s Optical Receiver With All-Digital Clock and Data Recovery in a 65 nm CMOS Process , 2015, IEEE Journal of Solid-State Circuits.
[10] Ahmed Elkholy,et al. A 4-to-10.5 Gb/s Continuous-Rate Digital Clock and Data Recovery With Automatic Frequency Acquisition , 2016, IEEE Journal of Solid-State Circuits.
[11] Yong-Bin Kim,et al. A CMOS low-power low-offset and high-speed fully dynamic latched comparator , 2010, 23rd IEEE International SOC Conference.
[12] B. Razavi,et al. Analysis and modeling of bang-bang clock and data recovery circuits , 2004, IEEE Journal of Solid-State Circuits.
[13] Pieter Rombouts,et al. Influence of Jitter on Limit Cycles in Bang-Bang Clock and Data Recovery Circuits , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[14] M. Marcu,et al. Duty-cycle distortion and specifications for jitter test-signal generation , 2008, 2008 IEEE International Symposium on Electromagnetic Compatibility.
[15] Xin Yin,et al. Inverse Alexander phase detector , 2016 .
[16] V.G. Oklobdzija,et al. Improved sense-amplifier-based flip-flop: design and measurements , 2000, IEEE Journal of Solid-State Circuits.
[17] Jun-Seok Park,et al. A 5-Gb/s 2.67-mW/Gb/s Digital Clock and Data Recovery With Hybrid Dithering Using a Time-Dithered Delta–Sigma Modulator , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[18] Michael Frueh,et al. Design Of Integrated Circuits For Optical Communications , 2016 .
[19] Rong-Jyi Yang,et al. A 1.25Gbps all-digital clock and data recovery circuit with binary frequency acquisition , 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.
[20] Ming-ta Hsieh,et al. Architectures for multi-gigabit wire-linked clock and data recovery , 2008, IEEE Circuits and Systems Magazine.
[21] A.A. Abidi,et al. Phase Noise and Jitter in CMOS Ring Oscillators , 2006, IEEE Journal of Solid-State Circuits.
[22] Takashi Masuda,et al. A 12 Gb/s 0.9 mW/Gb/s Wide-Bandwidth Injection-Type CDR in 28 nm CMOS With Reference-Free Frequency Capture , 2016, IEEE Journal of Solid-State Circuits.
[23] S. Bourdel,et al. An all-digital clock and data recovery circuit for low-to-moderate data rate applications , 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.
[24] B. Razavi,et al. Challenges in the design of high-speed clock and data recovery circuits , 2002, IEEE Commun. Mag..
[25] Xin Yin,et al. The 40 Gbps cascaded bit-interleaving PON , 2015 .
[26] Takayuki Shibasaki,et al. 6.6 A 22.5-to-32Gb/s 3.2pJ/b referenceless baud-rate digital CDR with DFE and CTLE in 28nm CMOS , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).
[27] Hirotaka Tamura,et al. 6.7 A 28Gb/s digital CDR with adaptive loop gain for optimum jitter tolerance , 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).
[28] Masum Hossain,et al. A 40-Gb/s serial link transceiver in 28-nm CMOS technology , 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.
[29] Suhwan Kim,et al. A 1.0–4.0-Gb/s All-Digital CDR With 1.0-ps Period Resolution DCO and Adaptive Proportional Gain Control , 2011, IEEE Journal of Solid-State Circuits.
[30] Wei-Zen Chen,et al. A 3.12 pJ/bit, 19–27 Gbps Receiver With 2-Tap DFE Embedded Clock and Data Recovery , 2015, IEEE Journal of Solid-State Circuits.
[31] Luca Benini,et al. A Reconfigurable 5-to-14 bit SAR ADC for Battery-Powered Medical Instrumentation , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.
[32] Pavan Kumar Hanumolu,et al. Digital clock and data recovery circuit design: Challenges and tradeoffs , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).
[33] Taeho Kim,et al. A 0.87 W Transceiver IC for 100 Gigabit Ethernet in 40 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.
[34] Shen-Iuan Liu,et al. Loop latency reduction technique for all-digital clock and data recovery circuits , 2009, 2009 IEEE Asian Solid-State Circuits Conference.
[35] Ching-Che Chung,et al. An all-digital clock and data recovery circuit for spread spectrum clocking applications in 65nm CMOS technology , 2012, 2012 4th Asia Symposium on Quality Electronic Design (ASQED).
[36] Takayuki Shibasaki,et al. A 22.5-to-32-Gb/s 3.2-pJ/b Referenceless Baud-Rate Digital CDR With DFE and CTLE in 28-nm CMOS , 2017, IEEE Journal of Solid-State Circuits.