Dark-Silicon Inspired Energy Efficient Hierarchical TDM NoC

In this paper, we propose a dark-silicon inspired hierarchical Time-Division-Multiplexing (TDM) network-on-chip (NoC) with online distributed setup-scheme for slots allocation. In addition to the normal mesh routers, we propose hierarchical routers, making use of the dim silicon parts of the chip, to hierarchically connect quad-routers units. Normal routers operate at full-chip-frequency at supply of 1. 2V, while hierarchical routers operate at half-chip-frequency and supply of 0.8V with double datawidth and half slot-size. Routers follow a proposed architecture that distinguishes between data-path and control-setup sub-routers. This allows separate clocking and operating supplies between data and control and to keep the control as a single-slot-cycle design independent of the data slot size. The proposed NoC architecture as well as a base NoC architecture from state-of-the-art are evaluated under uniform random traffic using Synopsys VCS and synthesized using Synopsys Design Compiler for SAED90nm technology. With the same power budget of the base NoC, the proposed architecture provides up to 74% improved setup latency, 32% increased NoC saturation load, and 21% higher success rates. The proposed hierarchical quad is based on leveraging the dim silicon parts of the chip for an energy efficient design. Though it consumes 1.78 times the area of the base quad, however with 56% under-clocked area operating at half the maximum chip frequency; thus reducing the power density to 52% of the base NoC.

[1]  Axel Jantsch,et al.  Highway in TDM NoCs , 2015, NOCS.

[2]  Axel Jantsch,et al.  Multi-Objective Power Management for CMPs in the Dark Silicon Age , 2017 .

[3]  Michael Bedford Taylor,et al.  Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse , 2012, DAC Design Automation Conference 2012.

[4]  Jean-Didier Legat,et al.  A hybrid NoC combining SDM-TDM based circuit-switching with packet-switching for real-time applications , 2012, 10th IEEE International NEWCAS Conference.

[5]  Kees G. W. Goossens,et al.  dAElite: A TDM NoC Supporting QoS, Multicast, and Fast Connection Set-Up , 2014, IEEE Transactions on Computers.

[6]  Hamid Sarbazi-Azad,et al.  Chapter Six - Topology Specialization for Networks-on-Chip in the Dark Silicon Era , 2018, Adv. Comput..

[7]  Avinoam Kolodny,et al.  Design and dynamic management of hierarchical NoCs , 2016, Microprocess. Microsystems.

[8]  Antonia Zhai,et al.  Energy-Efficient Time-Division Multiplexed Hybrid-Switched NoC for Heterogeneous Multicore Systems , 2014, 2014 IEEE 28th International Parallel and Distributed Processing Symposium.

[9]  Amit Kumar Singh,et al.  Exploiting Dark Cores for Performance Optimization via Patterning for Many-core Chips in the Dark Silicon Era , 2018, 2018 Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

[10]  Tien-Fu Chen,et al.  Hierarchical circuit-switched NoC for multicore video processing , 2011, Microprocess. Microsystems.

[11]  Akash Kumar,et al.  XNoC: A non-intrusive TDM circuit-switched Network-on-Chip , 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

[12]  Axel Jantsch,et al.  Parallel probe based dynamic connection setup in TDM NoCs , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[13]  Gerhard Fettweis,et al.  Combined packet and TDM circuit switching NoCs with novel connection configuration mechanism , 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

[14]  Magdy A. Bayoumi,et al.  Neuro-NoC: Energy Optimization in Heterogeneous Many-Core NoC using Neural Networks in Dark Silicon Era , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

[15]  Kees G. W. Goossens,et al.  Argo: A Real-Time Network-on-Chip Architecture With an Efficient GALS Implementation , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  Li Wang,et al.  Energy-efficient NoC with multi-granularity power optimization , 2016, The Journal of Supercomputing.

[17]  Muhammad Shafique,et al.  darkNoC: Designing energy-efficient network-on-chip with multi-Vt cells for dark silicon , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  Magdy A. Bayoumi,et al.  Router-level performance driven dynamic management in hierarchical networks-on-chip , 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).

[19]  Edwin Hsing-Mean Sha,et al.  FoToNoC: A Folded Torus-Like Network-on-Chip Based Many-Core Systems-on-Chip in the Dark Silicon Era , 2017, IEEE Transactions on Parallel and Distributed Systems.

[20]  Ahmed Amine Jerraya,et al.  Multiprocessor System-on-Chip (MPSoC) Technology , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Kees G. W. Goossens,et al.  Aelite: A flit-synchronous Network on Chip with composable and predictable services , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[22]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[23]  William J. Dally,et al.  Principles and Practices of Interconnection Networks , 2004 .

[24]  Gerhard Fettweis,et al.  High Performance Dynamic Resource Allocation for Guaranteed Service in Network-on-Chips , 2020, IEEE Transactions on Emerging Topics in Computing.

[25]  Diana Göhringer,et al.  Survey on Real-Time Networks-on-Chip , 2017, IEEE Transactions on Parallel and Distributed Systems.

[26]  Coniferous softwood GENERAL TERMS , 2003 .

[27]  Muhammad Shafique,et al.  Malleable NoC: Dark silicon inspired adaptable Network-on-Chip , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[28]  Yuan Xie,et al.  Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Diana Göhringer,et al.  A call-up for circuit-switched NoCs in the Dark-Silicon Era , 2017, 2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC).