Timing Yield Slack for Timing Yield-Constrained Optimization and Its Application to Statistical Leakage Minimization

This paper focuses on statistical optimization and, more specifically, timing yield (TY)-constrained optimization. For cell replacement in timing-constrained optimization, we need an indicator that examines whether or not a timing violation occurs and gives the available timing for a gate. In deterministic optimization, the timing slack is used for this indicator. Although there is an analogous concept of TY slack in statistical optimization, it has not been well utilized. This paper proposes an effective way to use the TY slack for successful statistical optimization. To achieve this, we present an efficient method to calculate the TY slacks of gates and a strategy that uses timing resources for effective statistical optimization. Based on this work, we propose a novel statistical leakage minimization method that uses the TY slack for a gate change metric. The use of TY-based metrics that are appropriate for statistical design ensures that our method has a better optimization performance at a higher speed. Experimental results on ISCAS-85 benchmark circuits show that the leakage minimization method reduces leakage by 25.2% compared to the statistical benchmark method. In addition, our method has a better runtime when the number of gates is high.

[1]  David Blaauw,et al.  Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[2]  Jinjun Xiong,et al.  Incremental Criticality and Yield Gradients , 2008, 2008 Design, Automation and Test in Europe.

[3]  David Blaauw,et al.  Statistical timing analysis for intra-die process variations with spatial correlations , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[4]  David Blaauw,et al.  Statistical optimization of leakage power considering process variations using dual-Vth and sizing , 2004, Proceedings. 41st Design Automation Conference, 2004..

[5]  S. Nassif,et al.  Full chip leakage-estimation considering power supply and temperature variations , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

[6]  Young Hwan Kim,et al.  Timing Criticality for Timing Yield Optimization , 2008, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

[7]  David Blaauw,et al.  Statistical timing based optimization using gate sizing , 2005, Design, Automation and Test in Europe.

[8]  Yu Cao,et al.  Predictive Technology Model for Nano-CMOS Design Exploration , 2006, Nano-Net.

[9]  Wei Wang,et al.  Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits , 2011, J. Inf. Process. Syst..

[10]  Yu Cao,et al.  Statistical leakage minimization through joint selection of gate sizes, gate lengths and threshold voltage , 2006, Asia and South Pacific Conference on Design Automation, 2006..

[11]  Young Hwan Kim,et al.  Timing yield slack for statistical optimization , 2011, 2011 3rd Asia Symposium on Quality Electronic Design (ASQED).

[12]  Yu Wang,et al.  Gate replacement techniques for simultaneous leakage and aging optimization , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[13]  Yu Cao,et al.  Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[14]  Yiming Li,et al.  Process-variation- and random-dopants-induced threshold voltage fluctuations in nanoscale planar MOSFET and bulk FinFET devices , 2009 .

[15]  Hai Zhou,et al.  Statistical Timing Yield Optimization by Gate Sizing , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[16]  John P. Fishburn,et al.  TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.

[17]  David Blaauw,et al.  Circuit optimization using statistical static timing analysis , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[18]  Sachin S. Sapatnekar,et al.  Full-chip analysis of leakage power under process variations, including spatial correlations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[19]  S. Nassif,et al.  Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[20]  David Blaauw,et al.  Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[21]  Michael Orshansky,et al.  An efficient algorithm for statistical minimization of total power under timing yield constraints , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[22]  Zhuo Feng,et al.  Fast Second-Order Statistical Static Timing Analysis Using Parameter Dimension Reduction , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[23]  David Blaauw,et al.  Statistical estimation of leakage current considering inter- and intra-die process variation , 2003, ISLPED '03.

[24]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[25]  W. Press,et al.  Numerical Recipes: The Art of Scientific Computing , 1987 .

[26]  Wei Chen,et al.  An Efficient Technique for Leakage Current Estimation in Sub 65nm Scaled CMOS Circuits Based on Loading Effect , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[27]  Yu Hen Hu,et al.  Correlation-Preserved Statistical Timing With a Quadratic Form of Gaussian Variables , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[28]  Farid N. Najm,et al.  Modeling and Estimation of Full-Chip Leakage Current Considering Within-Die Correlation , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[29]  Hai Zhou,et al.  Statistical gate sizing for timing yield optimization , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[30]  Sachin S. Sapatnekar,et al.  Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[31]  Kaushik Roy,et al.  Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[32]  Sarvesh Bhardwaj,et al.  Leakage minimization of nano-scale circuits in the presence of systematic and random variations , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[33]  Tao Li,et al.  Statistical Analysis of Full-Chip Leakage Power Considering Junction Tunneling Leakage , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[34]  David Blaauw,et al.  Statistical Timing Analysis: From Basic Principles to State of the Art , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[35]  E. Nowak,et al.  High-performance CMOS variability in the 65-nm regime and beyond. IBM J Res And Dev , 2006 .

[36]  Azadeh Davoodi,et al.  Variability Driven Gate Sizing for Binning Yield Optimization , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[37]  Sani R. Nassif,et al.  High Performance CMOS Variability in the 65nm Regime and Beyond , 2007 .

[38]  Borivoje Nikolic,et al.  Measurement and Analysis of Variability in 45 nm Strained-Si CMOS Technology , 2009, IEEE Journal of Solid-State Circuits.