Thermal simulator of 3D-IC with modeling of anisotropic TSV conductance and microchannel entrance effects

This paper presents a fast and accurate steady state thermal simulator for heatsink and microfluid-cooled 3D-ICs. This model considers the thermal effect of TSVs at fine-granularity by calculating the anisotropic equivalent thermal conductances of a solid grid cell if TSVs are inserted. Entrance effect of microchannels is also investigated for accurate modeling of microfluidic cooling. The proposed thermal simulator is verified against commercial multiphysics solver COMSOL and compared with Hotspot and 3D-ICE. Simulation results shows that for heatsink cooling, the proposed simulator is as accurate as Hotspot but runs much faster at moderate granularity. For microfluidic cooling, our proposed simulator is much more accurate than 3D-ICE in its estimation of steady state temperature and thermal distribution.

[1]  Narayanan Vijaykrishnan,et al.  Three-dimensional cache design exploration using 3DCacti , 2005, 2005 International Conference on Computer Design.

[2]  Jason Cong,et al.  Thermal via planning for 3-D ICs , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[3]  David Atienza,et al.  Compact transient thermal model for 3D ICs with liquid cooling via enhanced heat transfer cavity geometries , 2010, 2010 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC).

[4]  Sung Kyu Lim,et al.  Thermal Characterization of Interlayer Microfluidic Cooling of Three-Dimensional Integrated Circuits With Nonuniform Heat Flux , 2010 .

[5]  E. N. Sieder,et al.  Heat Transfer and Pressure Drop of Liquids in Tubes , 1936 .

[6]  S. Kandlikar A General Correlation for Saturated Two-Phase Flow Boiling Heat Transfer Inside Horizontal and Vertical Tubes , 1990 .

[7]  Hafizur Rahaman,et al.  Thermal Aware Placement in 3D ICs , 2010, 2010 International Conference on Advances in Recent Technologies in Communication and Computing.

[8]  Hsien-Hsin S. Lee,et al.  Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory , 2010, IEEE Custom Integrated Circuits Conference 2010.

[9]  Yiyu Shi,et al.  Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Aamir Zia,et al.  Mitigating Memory Wall Effects in High-Clock-Rate and Multicore CMOS 3-D Processor Memory Stacks , 2009, Proceedings of the IEEE.

[11]  Timothy A. Davis,et al.  Algorithm 907 , 2010 .

[12]  Yuzhuo Fu,et al.  Thermal management via task scheduling for 3D NoC based multi-processor , 2010, 2010 International SoC Design Conference.

[13]  R. Shah Laminar Flow Forced convection in ducts , 1978 .

[14]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[15]  R. Pease,et al.  High-performance heat sinking for VLSI , 1981, IEEE Electron Device Letters.

[16]  Chia-Lin Yang,et al.  Thermal modeling for 3D-ICs with integrated microchannel cooling , 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

[17]  G. Peterson,et al.  Convective heat transfer and flow friction for water flow in microchannel structures , 1996 .

[18]  Kevin Skadron,et al.  Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[19]  S. Garimella,et al.  A COMPARATIVE ANALYSIS OF STUDIES ON HEAT TRANSFER AND FLUID FLOW IN MICROCHANNELS , 2001, Proceeding of Heat Transfer and Transport Phenomena in Microscale.

[20]  Flynn P. Carson,et al.  3-D Stacked Package Technology and Trends , 2009, Proceedings of the IEEE.

[21]  Yuan Xie,et al.  Processor Design in 3D Die-Stacking Technologies , 2007, IEEE Micro.