Scalable trace signal selection using machine learning

A key problem in post-silicon validation is to identify a small set of traceable signals that are effective for debug during silicon execution. Structural analysis used by traditional signal selection techniques leads to poor restoration quality. In contrast, simulation-based selection techniques provide superior restorability but incur significant computation overhead. In this paper, we propose an efficient signal selection technique using machine learning to take advantage of simulation-based signal selection while significantly reducing the simulation overhead. Our approach uses (1) bounded mock simulations to generate training vectors set for the machine learning technique, and (2) an elimination approach to identify the most profitable signals set. Experimental results indicate that our approach can improve restorability by up to 63.3% (17.2% on average) with a faster or comparable runtime.

[1]  Nicola Nicolici,et al.  Algorithms for State Restoration and Trace-Signal Selection for Data Acquisition in Silicon Debug , 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[2]  Nicola Nicolici,et al.  Combining scan and trace buffers for enhancing real-time observability in post-silicon debugging , 2010, 2010 15th IEEE European Test Symposium.

[3]  Bart Vermeulen,et al.  Silicon debug: scan chains alone are not enough , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[4]  Prabhat Mishra,et al.  RATS: Restoration-Aware Trace Signal Selection for Post-Silicon Validation , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[5]  Alan J. Hu,et al.  Bridging pre-silicon verification and post-silicon validation , 2010, Design Automation Conference.

[6]  Priyadarsan Patra,et al.  Efficient combination of trace and scan signals for post silicon validation and debug , 2011, 2011 IEEE International Test Conference.

[7]  Azadeh Davoodi,et al.  Trace signal selection to enhance timing and logic visibility in post-silicon validation , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[8]  Qiang Xu,et al.  On Signal Selection for Visibility Enhancement in Trace-Based Post-Silicon Validation , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[9]  Azadeh Davoodi,et al.  A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Michael S. Hsiao,et al.  Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug , 2009, 2009 Asian Test Symposium.

[11]  Valeria Bertacco,et al.  Simulation-based signal selection for state restoration in silicon debug , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[12]  Jacob A. Abraham,et al.  Delay fault testing and silicon debug using scan chains , 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..

[13]  Chih-Jen Lin,et al.  LIBSVM: A library for support vector machines , 2011, TIST.

[14]  Qiang Xu,et al.  Trace signal selection for visibility enhancement in post-silicon validation , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[15]  Kamran Rahmani,et al.  Efficient Signal Selection Using Fine-grained Combination of Scan and Trace Buffers , 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.