Current-Mode Triline Transceiver for Coded Differential Signaling Across On-Chip Global Interconnects

This paper presents a current-mode triline ternary-level coded differential signaling scheme for high-speed data transmission across on-chip global interconnects. An energy efficient current-mode triline transceiver pair suitable for this signaling scheme has been proposed. Compared with a voltage mode receiver with resistive termination, the proposed active terminated current-mode receiver reduces the signal current by 7.8 times and the signaling power by 2.6 times. Two data transmission schemes are proposed for using this transceiver pair. In the first scheme, two data streams are directly transmitted over the three lines link, thereby having a wire efficiency of 67%. In the second scheme, five data streams at half rate are encoded and serialized to send over the three lines, thereby having a wire efficiency of 83%. A prototype design has been implemented in a UMC 0.18- $\mu \text{m}$ technology for an interconnect of length 5 mm. The measured energy efficiency of the triline transceiver in a direct transmission scheme for the data rate of 7.4 Gb/s is 0.61 pJ/bit. With the encoding scheme, the energy efficiency is 1.24 pJ/bit for a total data transmission of 9.25 Gb/s.

[1]  G. Patounakis,et al.  Pulsed current-mode signaling for nearly speed-of-light intrachip communication , 2006, IEEE Journal of Solid-State Circuits.

[2]  S. Wong,et al.  Near speed-of-light signaling over on-chip electrical interconnects , 2003 .

[3]  Ran Ginosar,et al.  Asynchronous Current Mode Serial Communication , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Eisse Mensink,et al.  Power Efficient Gigabit Communication Over Capacitively Driven RC-Limited On-Chip Interconnects , 2010, IEEE Journal of Solid-State Circuits.

[5]  Byungsub Kim,et al.  Current-Mode Transceiver for Silicon Interposer Channel , 2014, IEEE Journal of Solid-State Circuits.

[6]  S.P. Voinigescu,et al.  A 60 mW per Lane, 4$,times,$23-Gb/s 2$ ^7 -$1 PRBS Generator , 2006, IEEE Journal of Solid-State Circuits.

[7]  Byungsub Kim,et al.  An 80 mV-Swing Single-Ended Duobinary Transceiver With a TIA RX Termination for the Point-to-Point DRAM Interface , 2014, IEEE Journal of Solid-State Circuits.

[8]  Jianyun Hu,et al.  A 25-Gbps 8-ps/mm transmission line based interconnect for on-chip communications in multi-core chips , 2013, 2013 IEEE MTT-S International Microwave Symposium Digest (MTT).

[9]  K. Okada,et al.  A Bidirectional- and Multi-Drop-Transmission-Line Interconnect for Multipoint-to-Multipoint On-Chip Communications , 2008, IEEE Journal of Solid-State Circuits.

[10]  Jian Xu,et al.  A 32-Gb/s On-Chip Bus With Driver Pre-Emphasis Signaling , 2009, IEEE Trans. Very Large Scale Integr. Syst..

[11]  Aliazam Abbasfar,et al.  A 4.1-pJ/b, 16-Gb/s Coded Differential Bidirectional Parallel Electrical Link , 2012, IEEE Journal of Solid-State Circuits.

[12]  Pradip Mandal,et al.  Current-mode simultaneous bidirectional transceiver for on-chip global interconnects , 2015, 2015 6th Asia Symposium on Quality Electronic Design (ASQED).

[13]  David Blaauw,et al.  A 95fJ/b current-mode transceiver for 10mm on-chip interconnect , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[14]  Eric A. M. Klumperink,et al.  Flip-Flops for Accurate Multiphase Clocking: Transmission Gate Versus Current Mode Logic , 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

[15]  Gerhard Fettweis,et al.  A source-synchronous 90Gb/s capacitively driven serial on-chip link over 6mm in 65nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[16]  Jian Xu,et al.  A 32Gb/s On-chip Bus with Driver Pre-emphasis Signaling , 2006, IEEE Custom Integrated Circuits Conference 2006.

[17]  Eisse Mensink,et al.  Low-Power, High-Speed Transceivers for Network-on-Chip Communication , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[18]  Andrew Stewart,et al.  10.1 A pin-efficient 20.83Gb/s/wire 0.94pJ/bit forwarded clock CNRZ-5-coded SerDes up to 12mm for MCM packages in 28nm CMOS , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

[19]  Byungsub Kim,et al.  A Single-Ended Parallel Transceiver With Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point DRAM Interface , 2016, IEEE Journal of Solid-State Circuits.

[20]  Won Namgoong,et al.  High-Speed Single-Ended Parallel Link Based on Three-Level Differential Encoding , 2009, IEEE Journal of Solid-State Circuits.

[21]  Chih-Kong Ken Yang,et al.  A 6.0-mW 10.0-Gb/s Receiver With Switched-Capacitor Summation DFE , 2007, IEEE Journal of Solid-State Circuits.

[22]  Atul Maheshwari,et al.  Differential current-sensing for on-chip interconnects , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[23]  Eisse Mensink,et al.  Optimal Positions of Twists in Global On-Chip Differential Interconnects , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[24]  Aliazam Abbasfar,et al.  A 4.1pJ/b 16Gb/s coded differential bidirectional parallel electrical link , 2012, 2012 IEEE International Solid-State Circuits Conference.

[25]  N. Tzartzanis,et al.  Differential current-mode sensing for efficient on-chip global signaling , 2005, IEEE Journal of Solid-State Circuits.

[26]  Amin Shokrollahi,et al.  26.3 A pin- and power-efficient low-latency 8-to-12Gb/s/wire 8b8w-coded SerDes link for high-loss channels in 40nm technology , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[27]  K.L. Shepard,et al.  Distributed Loss-Compensation Techniques for Energy-Efficient Low-Latency On-Chip Communication , 2007, IEEE Journal of Solid-State Circuits.

[28]  Stephan Henker,et al.  An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS , 2015, IEEE Journal of Solid-State Circuits.

[29]  Pradip Mandal,et al.  High-speed energy-efficient bi-directional transceiver for on-chip global interconnects , 2015, IET Circuits Devices Syst..

[30]  B. Nauta,et al.  A 3-Gb/s/ch transceiver for 10-mm uninterrupted RC-limited global on-chip interconnects , 2006, IEEE Journal of Solid-State Circuits.

[31]  Michael P. Flynn,et al.  A 9-Gbit/s Serial Transceiver for On-Chip Global Signaling Over Lossy Transmission Lines , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

[32]  Vladimir Stojanovic,et al.  An Energy-Efficient Equalized Transceiver for RC-Dominant Channels , 2010, IEEE Journal of Solid-State Circuits.

[33]  Wayne P. Burleson,et al.  Current-Sensing and Repeater Hybrid Circuit Technique for On-Chip Interconnects , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[34]  Sorin P. Voinigescu,et al.  A 60 mW per lane, 4 x 23-Gb/s 27-1 PRBS generator , 2006 .

[35]  Michael P. Flynn,et al.  Global signaling over lossy transmission lines , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[36]  Fei Yuan,et al.  CMOS Current-Mode Circuits for Data Communications (Analog Circuits and Signal Processing) , 2006 .

[37]  Jian Xu,et al.  Voltage-Mode Driver Preemphasis Technique For On-Chip Global Buses , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[38]  Won Namgoong,et al.  Multilevel differential encoding with precentering for high-speed parallel link transceiver , 2005, IEEE Journal of Solid-State Circuits.

[39]  SeongHwan Cho,et al.  A 2.5-Gb/s On-Chip Interconnect Transceiver With Crosstalk and ISI Equalizer in 130 nm CMOS , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[40]  Maryam Shojaei Baghini,et al.  A Variation Tolerant Current-Mode Signaling Scheme for On-Chip Interconnects , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[41]  Justin Schauer,et al.  High Speed and Low Energy Capacitively Driven On-Chip Wires , 2008, IEEE Journal of Solid-State Circuits.

[42]  Michael P. Flynn,et al.  A 22Gb/s, 10mm on-chip serial link over lossy transmission line with resistive termination , 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

[43]  K. Masu,et al.  A 6.5-mW 5-Gbps On-Chip Differential Transmission Line Interconnect with a Low-Latency Asymmetric Tx in a 180nm CMOS Technology , 2006, 2006 IEEE Asian Solid-State Circuits Conference.

[44]  Chung-Kuan Cheng,et al.  Energy Efficiency Optimization Through Codesign of the Transmitter and Receiver in High-Speed On-Chip Interconnects , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.