Chapter 13 – Hardware Design and Realization for Iteratively Decodable Codes
暂无分享,去创建一个
[1] Vincent C. Gaudet,et al. Iterative decoding using stochastic computation , 2003 .
[2] P. Glenn Gulak,et al. Simplified MAP Algorithm Suitable for Implementation of Turbo Decoders , 1998 .
[3] Hans-Jörg Pfleiderer,et al. FPGA implementation of a flexible decoder for long LDPC codes , 2008, 2008 International Conference on Field Programmable Logic and Applications.
[4] Vincent C. Gaudet,et al. Design of High-Throughput Fully Parallel LDPC Decoders Based on Wire Partitioning , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[5] Markus Rupp,et al. Efficient DSP implementation of an LDPC decoder , 2004, 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.
[6] M. Jezequel,et al. Exploring Parallel Processing Levels for Convolutional Turbo Decoding , 2006, 2006 2nd International Conference on Information & Communication Technologies.
[7] Mohammad M. Mansour. High-performance decoders for regular and irregular repeat-accumulate codes , 2004, IEEE Global Telecommunications Conference, 2004. GLOBECOM '04..
[8] Patrick Tortelier,et al. Dynamique des métriques dans l’algorithme de Viterbi , 1989 .
[9] Michel Jezequel,et al. Scarce state transition turbo decoding based on re-encoding combined with dummy insertion , 2009 .
[10] A. Finger,et al. Increasing throughput of iterative decoders , 2001 .
[11] Xiao Peng,et al. A 115mW 1Gbps QC-LDPC decoder ASIC for WiMAX in 65nm CMOS , 2011, IEEE Asian Solid-State Circuits Conference 2011.
[12] A. Finger,et al. Reducing bit width of extrinsic memory in turbo decoder realisations , 2000 .
[13] Norbert Wehn,et al. Concurrent interleaving architectures for high-throughput channel coding , 2003, 2003 IEEE International Conference on Acoustics, Speech, and Signal Processing, 2003. Proceedings. (ICASSP '03)..
[14] Xiaoyang Zeng,et al. A fully-overlapped multi-mode QC-LDPC decoder architecture for mobile WiMAX applications , 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.
[15] Gwan S. Choi,et al. Minimum-Energy LDPC Decoder for Real-Time Mobile Application , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.
[16] Marc P. C. Fossorier,et al. Iterative Decoding With Replicas , 2007, IEEE Transactions on Information Theory.
[17] Jin Li,et al. Early stopping for LDPC decoding: convergence of mean magnitude (CMM) , 2006, IEEE Communications Letters.
[18] Gwan S. Choi,et al. Low-Power VLSI Design of LDPC Decoder Using DVFS for AWGN Channels , 2009, 2009 22nd International Conference on VLSI Design.
[19] Guido Masera,et al. On Practical Implementation and Generalizations of $ \max^{\ast}$ Operator for Turbo and LDPC Decoders , 2012, IEEE Transactions on Instrumentation and Measurement.
[20] Gabriella Bosco,et al. Decreasing the complexity of LDPC iterative decoders , 2005, IEEE Communications Letters.
[21] M. Bickerstaff,et al. A 24Mb/s radix-4 logMAP turbo decoder for 3GPP-HSDPA mobile wireless , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[22] Shie Mannor,et al. Majority-Based Tracking Forecast Memories for Stochastic LDPC Decoding , 2010, IEEE Transactions on Signal Processing.
[23] Kwyro Lee,et al. Implementation of a parallel turbo decoder with dividable interleaver , 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..
[24] Naresh R. Shanbhag,et al. Low-power implementation of a high-throughput LDPC decoder for IEEE 802.11N standard , 2009, 2009 IEEE Workshop on Signal Processing Systems.
[25] Hao Wang,et al. Improved Log-MAP decoding algorithm for turbo-like codes , 2006, IEEE Communications Letters.
[26] Luciano Lavagno,et al. Implementation of a UMTS turbo-decoder on a dynamically reconfigurable platform , 2004 .
[27] Mohamad Sawan,et al. Delayed Stochastic Decoding of LDPC Codes , 2011, IEEE Transactions on Signal Processing.
[28] Frank Kienle,et al. A 2.15GBit/s turbo code decoder for LTE advanced base station applications , 2012, 2012 7th International Symposium on Turbo Codes and Iterative Information Processing (ISTC).
[29] Guido Masera,et al. A Flexible UMTS-WiMax Turbo Decoder Architecture , 2008, IEEE Transactions on Circuits and Systems II: Express Briefs.
[30] K.K. Parhi,et al. High-Throughput Radix-4 logMAP Turbo Decoder Architecture , 2006, 2006 Fortieth Asilomar Conference on Signals, Systems and Computers.
[31] Narayanan Vijaykrishnan,et al. Implementing LDPC decoding on network-on-chip , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[32] Xinde Hu,et al. Error Floor Estimation of Long LDPC Codes on Magnetic Recording Channels , 2010, IEEE Transactions on Magnetics.
[33] Norbert Wehn,et al. Advanced Implementation Issues of Turbo-Decoders , 2000 .
[34] Ran Ginosar,et al. Parallel interleaver design and VLSI architecture for low-latency MAP turbo decoders , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[35] H. De Man,et al. Adaptive turbo decoding for indoor wireless communication , 1998, 1998 URSI International Symposium on Signals, Systems, and Electronics. Conference Proceedings (Cat. No.98EX167).
[36] Warren J. Gross,et al. Efficient Stochastic Decoding of Non-Binary LDPC Codes with Degree-Two Variable Nodes , 2012, IEEE Communications Letters.
[37] Shu Lin,et al. Two simple stopping criteria for turbo decoding , 1999, IEEE Trans. Commun..
[38] Guido Masera,et al. Implementation of a Flexible LDPC Decoder , 2007, IEEE Transactions on Circuits and Systems II: Express Briefs.
[39] Sergio Benedetto,et al. Mapping interleaving laws to parallel turbo and LDPC decoder architectures , 2004, IEEE Transactions on Information Theory.
[40] B. M. Gordon,et al. Supply and threshold voltage scaling for low power CMOS , 1997, IEEE J. Solid State Circuits.
[41] J. Koenderink. Q… , 2014, Les noms officiels des communes de Wallonie, de Bruxelles-Capitale et de la communaute germanophone.
[42] Aliazam Abbasfar,et al. An efficient architecture for high speed turbo decoders , 2003, 2003 IEEE International Conference on Acoustics, Speech, and Signal Processing, 2003. Proceedings. (ICASSP '03)..
[43] Hyuckjae Lee,et al. A Stopping Criterion for Low-Density Parity-Check Codes , 2008, IEICE Trans. Commun..
[44] J. von Neumann,et al. Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .
[45] Amer Baghdadi,et al. A flexible high throughput multi-ASIP architecture for LDPC and turbo decoding , 2011, 2011 Design, Automation & Test in Europe.
[46] C. Plett,et al. An 80-Mb/s 0.18-/spl mu/m CMOS analog min-sum iterative decoder for a (32,8,10) LDPC code , 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
[47] Joseph R. Cavallaro,et al. Scalable and low power LDPC decoder design using high level algorithmic synthesis , 2009, 2009 IEEE International SOC Conference (SOCC).
[48] J. Steensma,et al. FPGA implementation of a 3GPP turbo codec , 2001, Conference Record of Thirty-Fifth Asilomar Conference on Signals, Systems and Computers (Cat.No.01CH37256).
[49] David Declercq,et al. Approaching maximum likelihood decoding of finite length LDPC codes via FAID diversity , 2012, 2012 IEEE Information Theory Workshop.
[50] Xiaoyang Zeng,et al. A flexible LDPC decoder architecture supporting two decoding algorithms , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[51] Jean-Luc Danger,et al. Lambda-Min Decoding Algorithm of Regular and Irregular LDPC Codes , 2003 .
[52] E. Boutillon,et al. Reconfigurable Architecture for LDPC and Turbo Decoding: A NoC Case Study , 2008, 2008 IEEE 10th International Symposium on Spread Spectrum Techniques and Applications.
[53] Norbert Wehn,et al. Network-on-chip-centric approach to interleaving in high throughput channel decoders , 2005, 2005 IEEE International Symposium on Circuits and Systems.
[54] Andries P. Hekstra,et al. An alternative to metric rescaling in Viterbi decoders , 1989, IEEE Trans. Commun..
[55] Ajay Dholakia,et al. Reduced-complexity decoding of LDPC codes , 2005, IEEE Transactions on Communications.
[56] Rainer Leupers,et al. Optimized ASIP synthesis from architecture description language models , 2007 .
[57] Guido Masera,et al. A flexible NoC-based LDPC code decoder implementation and bandwidth reduction methods , 2011, Proceedings of the 2011 Conference on Design & Architectures for Signal & Image Processing (DASIP).
[58] Amer Baghdadi,et al. On chip interconnects for multiprocessor turbo decoding architectures , 2011, Microprocess. Microsystems.
[59] P. T. Mathiopoulos,et al. Simplified sum-product algorithm for decoding LDPC codes with optimal performance , 2009 .
[60] Guido Masera,et al. VLSI Implementation of a Multi-Mode Turbo/LDPC Decoder Architecture , 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.
[61] Naresh R. Shanbhag,et al. VLSI architectures for SISO-APP decoders , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[62] Dale E. Hocevar. LDPC code construction with flexible hardware implementation , 2003, IEEE International Conference on Communications, 2003. ICC '03..
[63] A. Giulietti,et al. Parallel turbo coding interleavers: avoiding collisions in accesses to storage elements , 2002 .
[64] Lajos Hanzo,et al. Green radio: radio techniques to enable energy-efficient wireless networks , 2011, IEEE Communications Magazine.
[65] P. Glenn Gulak,et al. VLSI architectures for the MAP algorithm , 2003, IEEE Trans. Commun..
[66] Tong Zhang,et al. Design of VLSI implementation-oriented LDPC codes , 2003, 2003 IEEE 58th Vehicular Technology Conference. VTC 2003-Fall (IEEE Cat. No.03CH37484).
[67] Martin J. Wainwright,et al. An Efficient 10GBASE-T Ethernet LDPC Decoder Design With Low Error Floors , 2010, IEEE Journal of Solid-State Circuits.
[68] Christophe Jégo,et al. Energy Efficient Turbo Decoder with Reduced State Metric Quantization , 2007, 2007 IEEE Workshop on Signal Processing Systems.
[69] Andrea Gerosa,et al. An all-analog CMOS implementation of a turbo decoder for hard-disk drive read channels , 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).
[70] Liesbet Van der Perre,et al. A class of power efficient VLSI architectures for high speed turbo-decoding , 2002, Global Telecommunications Conference, 2002. GLOBECOM '02. IEEE.
[71] Guido Masera,et al. Non-recursive max* operator with reduced implementation complexity for turbo decoding , 2012, IET Commun..
[72] Keshab K. Parhi,et al. A 54 Mbps (3,6)-regular FPGA LDPC decoder , 2002, IEEE Workshop on Signal Processing Systems.
[73] Yuan-Hao Huang,et al. A 6.6pJ/bit/iter radix-16 modified log-MAP decoder using two-stage ACS architecture , 2011, IEEE Asian Solid-State Circuits Conference 2011.
[74] C.-J. Richard Shi,et al. Sliced Message Passing: High Throughput Overlapped Decoding of High-Rate Low-Density Parity-Check Codes , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.
[75] Wen-Hsiang Hu,et al. Parallel LDPC Decoding on a Network-on-Chip Based Multiprocessor Platform , 2009, 2009 21st International Symposium on Computer Architecture and High Performance Computing.
[76] Guido Masera,et al. Turbo NOC: A Framework for the Design of Network-on-Chip-Based Turbo Decoder Architectures , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.
[77] S. S. Pietrobon,et al. Terminating the trellis of turbo-codes in the same state , 1995 .
[78] Joachim Hagenauer,et al. The analog decoder , 1998, Proceedings. 1998 IEEE International Symposium on Information Theory (Cat. No.98CH36252).
[79] Guido Masera,et al. State Metric Compression Techniques for Turbo Decoder Architectures , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.
[80] Francky Catthoor,et al. Energy efficient data transfer and storage organization for a MAP turbo decoder module , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[81] Naresh R. Shanbhag,et al. Memory-efficient turbo decoder architectures for LDPC codes , 2002, IEEE Workshop on Signal Processing Systems.
[82] S. Dolinar,et al. Buffering requirements for variable-iterations LDPC decoders , 2008, 2008 Information Theory and Applications Workshop.
[83] Luca Fanucci,et al. Low-Complexity Architectures of a Decoder for IEEE 802.16e LDPC Codes , 2007 .
[84] Keshab K. Parhi,et al. VLSI implementation issues of TURBO decoder design for wireless applications , 1999, 1999 IEEE Workshop on Signal Processing Systems. SiPS 99. Design and Implementation (Cat. No.99TH8461).
[85] Norbert Wehn,et al. A synthesizable IP core for WiMedia 1.5 UWB LDPC code decoding , 2009, 2009 IEEE International Conference on Ultra-Wideband.
[86] Fabrice Seguin,et al. Semi-Iterative Analog Turbo Decoding , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.
[87] Xin-Yu Shih,et al. A 7.39mm2 76mW (1944, 972) LDPC decoder chip for IEEE 802.11n applications , 2008, 2008 IEEE Asian Solid-State Circuits Conference.
[88] Joseph R. Cavallaro,et al. A massively parallel implementation of QC-LDPC decoder on GPU , 2011, 2011 IEEE 9th Symposium on Application Specific Processors (SASP).
[89] Paul H. Siegel,et al. VLSI architectures for metric normalization in the Viterbi algorithm , 1990, IEEE International Conference on Communications, Including Supercomm Technical Sessions.
[90] Norbert Wehn,et al. Communication centric architectures for turbo-decoding on embedded multiprocessors , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[91] Linda M. Davis,et al. Integrated circuits for channel coding in 3G cellular mobile wireless systems , 2003, IEEE Communications Magazine.
[92] Chin-Long Wey,et al. Algorithms of Finding the First Two Minimum Values and Their Hardware Implementation , 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.
[93] Ajay Dholakia,et al. Efficient implementations of the sum-product algorithm for decoding LDPC codes , 2001, GLOBECOM'01. IEEE Global Telecommunications Conference (Cat. No.01CH37270).
[94] Guido Masera,et al. A Novel Architecture for Scalable, High Throughput, Multi-standard LDPC Decoder , 2011, 2011 14th Euromicro Conference on Digital System Design.
[95] Stephen P. Boyd,et al. Tractable approximate robust geometric programming , 2007, Optimization and Engineering.
[96] Xiao Peng,et al. An early stopping criterion for decoding LDPC codes in WiMAX and WiFi standards , 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.
[97] Qiuting Huang,et al. A 390Mb/s 3.57mm2 3GPP-LTE turbo decoder ASIC in 0.13µm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[98] A. J. Blanksby,et al. A 690-mW 1-Gb/s 1024-b, rate-1/2 low-density parity-check code decoder , 2001, IEEE J. Solid State Circuits.
[99] Gernot Heiser,et al. An Analysis of Power Consumption in a Smartphone , 2010, USENIX Annual Technical Conference.
[100] Frank R. Kschischang,et al. A 3.3-Gbps bit-serial block-interlaced min-sum LDPC decoder in 0.13-μm CMOS , 2007, 2007 IEEE Custom Integrated Circuits Conference.
[101] Cyrille Chavet,et al. A methodology based on Transportation problem modeling for designing parallel interleaver architectures , 2011, 2011 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
[102] J. Neumann. Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .
[103] Brian K. Classon,et al. Contention-Free Interleavers for High-Throughput Turbo Decoding , 2008, IEEE Transactions on Communications.
[104] Guido Masera,et al. On optimal and near-optimal turbo decoding using generalized max operator , 2009, IEEE Communications Letters.
[105] Jung-Fu Cheng,et al. Linearly approximated log-MAP algorithms for turbo decoding , 2000, VTC2000-Spring. 2000 IEEE 51st Vehicular Technology Conference Proceedings (Cat. No.00CH37026).
[106] Francky Catthoor,et al. Memory optimization of MAP turbo decoder algorithms , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[107] P.G. Gulak,et al. A 13.3Mb/s 0.35/spl mu/m CMOS analog turbo decoder IC with a configurable interleaver , 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..
[108] A. Mackay. On complexity , 2001 .
[109] Tinoosh Mohsenin,et al. A Low-Complexity Message-Passing Algorithm for Reduced Routing Congestion in LDPC Decoders , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[110] Frank R. Kschischang,et al. Power Reduction Techniques for LDPC Decoders , 2008, IEEE Journal of Solid-State Circuits.
[111] P ? ? ? ? ? ? ? % ? ? ? ? , 1991 .
[112] A. Bourdoux,et al. A flexible ASIP decoder for combined binary and non-binary LDPC codes , 2010, 2010 17th IEEE Symposium on Communications and Vehicular Technology in the Benelux (SCVT2010).
[113] Vincent C. Gaudet,et al. Stochastic iterative decoders , 2005, Proceedings. International Symposium on Information Theory, 2005. ISIT 2005..
[114] Filippo Speziali,et al. Scalable and area efficient concurrent interleaver for high throughput turbo-decoders , 2004, Euromicro Symposium on Digital System Design, 2004. DSD 2004..
[115] Michel Jezequel,et al. Towards an optimal parallel decoding of turbo codes , 2006 .
[116] Gianluca Piccinini,et al. Architectural strategies for low-power VLSI turbo decoders , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[117] H. Loeliger,et al. Probability propagation and decoding in analog VLSI , 1998, Proceedings. 1998 IEEE International Symposium on Information Theory (Cat. No.98CH36252).
[118] N. Wehn,et al. FlexiChaP: A reconfigurable ASIP for convolutional, turbo, and LDPC code decoding , 2008, 2008 5th International Symposium on Turbo Codes and Related Topics.
[119] Joachim Hagenauer,et al. Iterative decoding of binary block and convolutional codes , 1996, IEEE Trans. Inf. Theory.
[120] Amer Baghdadi,et al. Flexible Architectures for LDPC Decoders Based on Network on Chip Paradigm , 2009, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools.
[121] Guido Masera,et al. A Network-on-Chip-based turbo/LDPC decoder architecture , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[122] Ken Mai,et al. Highly Parallel FPGA Emulation for LDPC Error Floor Characterization in Perpendicular Magnetic Recording Channel , 2009, IEEE Transactions on Magnetics.
[123] Wayne E. Stark,et al. Performance optimization of VLSI transceivers for low-energy communications systems , 1999, MILCOM 1999. IEEE Military Communications. Conference Proceedings (Cat. No.99CH36341).
[124] Matthieu Arzel,et al. Design and FPGA implementation of stochastic turbo decoder , 2011, 2011 IEEE 9th International New Circuits and systems conference.
[125] Sanjay Attri,et al. A simplified and efficient implementation of FPGA-based turbo decoder , 2003, Conference Proceedings of the 2003 IEEE International Performance, Computing, and Communications Conference, 2003..
[126] Christophe Jégo,et al. Stochastic Decoding of Turbo Codes , 2010, IEEE Transactions on Signal Processing.
[127] Xiao-Jun Zeng,et al. Design and implementation of a turbo decoder for 3G W-CDMA systems , 2002, IEEE Trans. Consumer Electron..
[128] Amer Baghdadi,et al. Bandwidth reduction of extrinsic information exchange in turbo decoding , 2006 .
[129] Yeong-Luh Ueng,et al. Processing-Task Arrangement for a Low-Complexity Full-Mode WiMAX LDPC Codec , 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.
[130] Joseph R. Cavallaro,et al. Semi-parallel reconfigurable architectures for real-time LDPC decoding , 2004, International Conference on Information Technology: Coding and Computing, 2004. Proceedings. ITCC 2004..
[131] Chi-Ying Tsui,et al. Reducing power consumption of turbo-code decoder using adaptive iteration with variable supply voltage , 2001, IEEE Trans. Very Large Scale Integr. Syst..
[132] E. Boutillon,et al. Bit-width optimization of extrinsic information in turbo decoder , 2008, 2008 5th International Symposium on Turbo Codes and Related Topics.
[133] Heinrich Meyr,et al. On Complexity, Energy- and Implementation-Efficiency of Channel Decoders , 2010, IEEE Transactions on Communications.